EDA脉冲宽度测量仪实验报告课件.doc

  1. 1、本文档共13页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA脉冲宽度测量仪实验报告课件

湖南涉外经济学院 课程设计报告 课程名称: EDA技术与应用 报告题目: 脉冲宽度测量仪 学生姓名: 刘君玮 所在学院: 电子科学与信息学院 专业班级: 电子信息1302 学生学号: 134110229 指导教师: 罗志年 李刚 2015 年 12 月 24 日 EDA课程设计任务书 报告题目 脉冲宽度测量仪 完成时间 12.23- 1.11 学生姓名 刘君玮 专业班级 电信1302 指导教师 罗志年 李刚 职称 副教授 讲师 设计目的 在电子技术及其应用领域中,常需要对各种系统工作的时间特性进行分析测量,如图给出的这种时间特性示意图,其中t表示时间脉冲宽度,即指脉冲起始时间和终止时间的持续时间。T表示一个工作周期,即从脉冲的一个上升沿到下一个上升的时间。 图一 脉冲宽度与周期 在测量与仪器仪表领域,经常需要对数字信号的脉冲宽度进行测量如转速传感器、外部系统的门控与选通脉冲,以及PWM (脉冲宽度调制)输入的频率等。因此可以说脉冲宽度和周期是关于脉冲的重要指标。无论是模似电路还是数字电路,往往都需要对脉冲宽度进行测量。 设计内容 (1)脉冲信号宽度的测量精度为±1ms。 (2)脉冲信号宽度的测量范围为0~10s。 (3)调试过程中可以用按键模拟脉冲信号。 (4)测量值用5位数码管显示(可以采用静态显示)。 (5)输入信号为标准TTL电平。 (6)调试中既可以采用正脉冲,也可以采用负脉冲(任选其一)。 (7)必须先进行前仿真,并打印出仿真波形。 (8)按要求写好设计报告(设计报告内容包括:引言,方案设计与论证,总体设计,各模块设计,调试与数据分析,总结)。 设计步骤 工作内容及时间进度安排 共2周:12.22 小组成员分工写好各模块的程序 12.23 将程序生成的模块进行调试、整合。 12.24 在实验平台上进行验证。 课程设计成果 1.与设计内容对应的软件程序 2.课程设计总结报告 摘 要 随着EDA技术的迅速发展,在EDA软件平台上,根据硬件描述语言VHDL完成的设计文件, 自动地完成逻辑编译、化简、分割、综合、优化、布局线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作成了脉冲测量的发展方向。采用此种发法,设计者的工作仅限于利用软件的方式来完成对系统硬件功能的描述,在EDA工具的帮助下和应用相应的FPGA/CPLD器件,就可以得到最后的设计结果。 通常采用脉冲计数法,即在待测信号的高电平或低电平用一高频时钟脉冲进行计数,然后根据脉冲的个数计算待测信号宽度,如所示。待测信号相对于计数时钟通常是独立的,其上升、下降沿不可能正好落在时钟的边沿上,因此该法的最大测量误差为一个时钟周期。例如采用0MHz的高频时钟,最大误差为ns。 关键词:脉宽;脉冲;数显;电容 目 录 一、概述………………………………………………………………………………1 二、需求分析…………………………………………………………………………2 三、系统设计…………………………………………………………………………3 四、**模块详细设计与实现……………………………………………………4 五、结论与心得………………………………………………………………………6 六、参考文献…………………………………………………………………………6 概述 在测量与仪器仪表领域,经常需要对数字信号的脉冲宽度进行测量,如转速传感器、外部系统的门控与选通脉冲,以及PWM (脉冲宽度调制)输入的频率等。因此可以说脉冲宽度和周期是关于脉冲的重要指标。无论是模似电路还是数字电路,往往都需要对脉冲宽度进行测量。 参与此次课程设计的小组成员为:刘君玮,王郑军,刘格诚,杨卓龙。各自参与了程序编写、原理图绘制、实验验证的工作。 整体情况良好,在规定时间内完成了各项工作。 需求分析 1.脉冲检测 实现对脉冲的检测,即信号的输入。 2.计数器 对脉宽进行计数 3.数据选择器 对数据进行选择处理 4.LED显示译码器 对统计的结果进行显示 系统设计 1.系统模块 (1)脉冲检测模块 当有检测到P_IN端有脉冲输入(P_IN=1)时,EN_OUT=1输送到计数器的EN端。 (2)计数模块 计数模块是对检测到的脉冲进行计数 ,计算出脉冲的宽度。 (3)译码显示模块

文档评论(0)

jiayou10 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8133070117000003

1亿VIP精品文档

相关文档