EDA设计技术教学课件第5章行为建模课件.ppt

EDA设计技术教学课件第5章行为建模课件.ppt

  1. 1、本文档共107页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA设计技术教学课件第5章行为建模课件

交通灯演示 `timescale 1ns/1ns module traffic_lights; reg clk, Red, Yellow, Green; parameter on = 1, //LED亮 off = 0, //LED灭 Red_tics = 350, //红灯延时参数 Yellow_tics = 30, //黄灯延时参数 Green_tics = 200; //绿灯延时参数 ? initial Red = off; initial Yellow = off; initial Green = off; always begin // 交通灯的过程控制 Red = on; // 红灯亮 light(Red, Red_tics); // 延时控制 Yellow = on; // 黄灯亮 light(Yellow, Yellow_tics); //延时控制 Green = on; // 绿灯亮 light(Green, Green_tics); // 延时控制 end task light; output LED; input [31:0] tics; begin repeat (tics) @ (posedge clk); //延时控制 LED = off; //关闭LED end endtask ? initial begin:Gclk //时钟生成 clk = 0; forever #100 clk = ~clk; end endmodule 交通灯演示模型仿真结果 5.8.2 伺服电机调速控制器 本演示模型为开环速度控制模式方式。 伺服电机调速控制器与伺服电机驱动器的控制连接器连接,经Verilog HDL的描述设计,通过连接器,向伺服电机驱动器的发出伺服控制模式选择信号、伺服使能信号、速度信号,其中,速度信号按3位端口选择方式,可调节0级~7级速度。 此外,该演示模型还具有系统急停管理、启动/停止控制功能。 伺服电机调速控制器系统结构 伺服电机调速控制器由五个模块组成,分别是 伺服驱动控制模块Servo_Kernel、 速度调节模块Speed、 时钟生成模块CLK_gen、 用户闪存模块的内部振荡器Oscillator和 伺服管理模块Servo_Power。 伺服电机调速控制器模块 利用ALTERA公司的megawizard插件管理器,配置内部振荡器Oscillator,生成5.56MHz振荡信号,使能端外接高电平端口 内部振荡器Oscillator模块 采用非阻塞式赋值语句生成两路时钟:伺服驱动控制模块时钟CLK_Servo和速度调节模块时钟CLK_Speed。 module CLK_gen(CLK_Speed,CLK_Servo,CLK); input CLK; output CLK_Servo, CLK_Speed; reg [18:0] count; ? reg CLK_Speed; reg CLK_Servo; initial count=19b0; always @ (posedge CLK) begin count = count + 1; CLK_Servo = count[10]; //伺服驱动控制模块计数分频时钟 CLK_Speed = count[18]; //速度调节模块计数分频时钟 end endmodule 时钟生成模块CLK_gen module Speed (Speed,up, dn, clk); input up, dn, clk; output [2:0] Speed; initial Speed=3b000;

文档评论(0)

jiayou10 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8133070117000003

1亿VIP精品文档

相关文档