专题二-case语句与状态机.pptVIP

  1. 1、本文档共41页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
一、CASE语句 二、有限状态机 状态机的优点 (1)高效的顺序控制模型。 (2)容易利用现成的EDA优化工具。 (3)性能稳定。 (4)设计实现效率高。 (5)高速性能。 (6)高可靠性能。 VHDL状态机的一般形式 Moore型有限状态机的设计 共有5个工作状态 S0,s1,初始化并启动ADC S2,数据转换状态,当EOC=0时表示转换结束 S3,允许输出转换好的数据 S4,状态机向锁存器发出信号LOCK,锁存器对转换器输出数据逐位锁存 7.2 Moore型有限状态机的设计 Moore型有限状态机的设计 Mealy型有限状态机的设计 竞争冒险 竞争(Competition): 在组合逻辑电路中,某个输入变量通过两条或两条以上的途径传到输出端,由于不同途径延迟时间不同,到达输出门的时间就有先有后,这种现象称为竞争。 冒险(risk):信号在器件内部传递有延时,延时的大小与连线长短和逻辑单元数目有关,同时受器件的制造工艺、工作电压、温度等条件的影响。信号的高低电平转换也需要一定的过渡时间。由于存在这两方面因素,多路信号的电平值发生变化时,在信号变化的瞬间,组合逻辑的输出有先后顺序,并不是同时变化,往往会出现一些不正确的尖峰信号,这些尖峰信号称为毛刺。如果一个组合逻辑电路中有毛刺出现,就说明该电路存在冒险。 状态机图形编辑设计方法 接下页 接上页 状态8,完成检测,输出高电平 * * CASE语句与 有限状态机 CASE语句的结构如下: CASE 表达式 IS When 选择值 = 顺序语句; When 选择值 = 顺序语句; ... END CASE ; 多条件选择值的一般表达式为: 选择值 [ |选择值 ] 选择值可以有四种不同的表达方式: 单个普通数值,如6。 数值选择范围,如(2 TO 4),表示 取值为2、3或4。 并列数值,如3?5,表示取值为3或者5。 混合方式,以上三种方式的混合。 注意: 条件语句的选择值必须在表达式的取值范围内。 除非所有条件语句中的选择值能完全覆盖CASE语句中表达式的取值,否则最末一个条件句中的选择必须用“OTHERS”表示,它代表已给的所有条件句中未能列出的其他可能的取值。 CASE语句中每一条件句的选择值只能出现一次,不能有相同选择值的条件语句出现。 CASE语句执行中必须选中且只能选中所列条件语句中的一条。这表明CASE语句中至少要包含一个条件语句。 四选一电路描述 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux4_1 IS PORT(a,b,c,d:IN STD_LOGIC; sel:IN STD_LOGIC_VECTOR(1 DOWNTO 0); y:OUT STD_LOGIC); END mux4_1; ARCHITECTURE one OF mux4_1 IS BEGIN PROCESS(sel,a,b,c,d) BEGIN CASE sel IS WHEN “00”=y=a; WHEN “01”=y=b; WHEN “10”=y=c; WHEN “11”=y=d; WHEN OTHERS=y=’X’; END CASE; END PROCESS; END one; SIGNAL value : INTEGER RANGE 0 TO 15; SIGNAL out1 : STD_LOGIC ; ... CASE value IS -- 缺少以WHEN引导的条件句 END CASE; ... CASE value IS WHEN 0 = out1= 1 ; -- value2~15的值未包括进去 WHEN 1 = out1= 0 ; END CASE ... CASE value IS WHEN 0 TO 10 = out1= 1; -- 选择值中5~10的值有重叠 WHEN 5 TO 15 = out1= 0; END CASE; CASE语句使用中几种容易发生的错误 一般有限状态机的结构 1. 说明部分 2. 主控时序进程 负责状态机的运转和在时钟驱动下负责状态转换的进程。 当时钟发生有效跳

文档评论(0)

jdy261842 + 关注
实名认证
文档贡献者

分享好文档!

1亿VIP精品文档

相关文档