网站大量收购闲置独家精品文档,联系QQ:2885784924

EDA8次实验报告完整版课案.doc

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA8次实验报告完整版课案

《EDA技术》课程实验报告 姓 名: 邓绍峰 学 号: 134110243 班 级: 电信1302班 同 组 者: 胡浪 指导教师: 许慧燕 信息科学与工程学院 2015-2016学年第一学期 《EDA技术》课程实验报告 学生姓名: 邓绍峰 胡浪 所在班级: 电信1302班 指导教师: 许慧燕 记分及评价: 报告满分 3分 得 分 实验名称 实验1-3:简单数字电子钟的设计(原理图输入设计方法) 任务及要求 【基本部分】 在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,完成一个24进制同步计数器的设计,并进行时序仿真。要求具备使能功能和异步清零功能,设计完成后封装成一个元件。 同1,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,完成一个60进制同步计数器的设计,并进行时序仿真。要求具备使能功能和异步清零功能,设计完成后封装成一个元件。 利用1和2所设计的60进制计数器和24进制计数器元件,采用同步的方式设计一个简单的数字电子钟并进行时序仿真,要求具有时分秒功能显示功能、使能功能和异步清零功能。 由于实验箱数码管采用的动态扫描方式,本实验暂时只要求仿真,硬件验证到实验7再完成。 【发挥部分】 思考:采用反馈清零法设计的计数器与反馈置数法有何不同?请用实例进行仿真。 如何实现电子钟时分秒连续可调的功能? 原理图 图1-1 24进制同步计数器 图1-2 60进制同步计数器 图1-3可调数字电子钟 仿真及结果分析 图1-4 24进制同步计数器 图1-5 60进制同步计数器 图1-6 可调数字电子钟 小结 这次实验课,让我们更加了解了集成块74160的结构,学会使用集成块组成任意进制计数器。使用74160构成计数器时,应该注意使能端的使用、时钟脉冲信号多少,那些会影响仿真波形,但是,如果时钟脉冲多了的话,仿真波形就会在不该跳转的时候跳转(如电子时钟计数器) 《EDA技术》课程实验报告 学生姓名: 邓绍峰 胡浪 所在班级: 电信1302班 指导教师: 许慧燕 记分及评价: 报告满分 3分 得 分 实验名称 实验4:3-8译码器的设计 任务及要求 【基本部分】 在QuartusII平台上,采用文本输入设计方法,通过编写VHDL语言程序,完成3-8译码器的设计并进行时序仿真。 设计完成后生成一个元件,以供更高层次的设计调用。 实验箱上进行验证。 x y x y x y 000011110 001100111 010101 输入:x std_logic_vector(2 downto 0) 输出:y std_logic_vector(7 downto 0) x[2]:T19 x[1]:T20 x[0]:T17 y[7]:H19 y[6]:H20 y[5]:J21 y[4]:J22 y[3]:J19 y[2]:J18 y[1]:J20 y[0]:K21 Cyclone III EP3C80F484C8 【发挥部分】 设计4-8译码器,完成仿真并封装成一个元件;新建原理图,调用一片74161和所设计的4-8译码器,完成具有16种花样的循环LED灯控制器的设计,并在实验箱上进行验证。 实验程序 library ieee; use ieee.std_logic_1164.all; entity sanxian8xymq is port( x:in std_logic_vector(2 downto 0); y:out std_logic_vector(7 downto 0)); end sanxian8xymq; architecture yima of sanxian8xymq is begin process(x) begin if x=000then y elsif x=001then y elsif x=010then y elsif x=011then y elsif x=100then y el

文档评论(0)

jiayou10 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8133070117000003

1亿VIP精品文档

相关文档