VHDL语句总结.pptVIP

  1. 1、本文档共26页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
VHDL语句总结ppt课件

2、可综合性 同步电路设计规则 1.2 运算符 1.3 顺序语句 If , case和loop语句的综合总结 * * VHDL设计总结 1、顺序语句 信号和变量赋值语句 if 语句 case语句 循环语句(loop) wait 语句 子程序调用语句 return, Null,exit,next等 2、 并行语句 信号赋值语句 条件信号赋值语句 选择信号赋值语句 生成语句 进程语句 块语句 元件例化语句 过程调用语句 library ieee; use ieee.std_logic_1164.all ; entity ex1 is port (din: in std_logic_vector (2 downto 0); dout: out std_logic_vector (3 downto 0)); end ex1 ; architecture rtl of ex1 is begin case (din) is when “00” = dout =“0001” ; when “01” = dout = “0010” ; when “10” = dout =“0100” ; when “11” = dout =“1000” ; end case; end rtl ; 1、易犯的几个典型错误 library ieee; use ieee.std_logic_1164.all; entity ex2 is port ( A,B,C,D: in std_logic; sel: in std_logic_vector(1 downto 0); Z: out std_logic); End ex2; Architecture arch of ex2 is Begin Process(A,B,C,D) Begin Z = A when sel = “00” else B when sel = “01” else C when sel= “10” else D; End process; End arch; library ieee; use ieee.std_logic_1164.all ; entity ex3 is port (clk,d: in std_logic; q: out std_logic); End ex3; Architecture arch of ex3 is Begin Process(clk) Begin Wait until clk’event and clk = ‘1’ ; q = d ; End process; End arch ; library ieee; use ieee.std_logic_1164.all; entity ex4 is port(clk: in std_logic; count: out std_logic_vector(3 downto 0)); end ex4; architecture rtl of ex4 is begin process(clk) begin if clk’event and clk=’1’ then count=count+1; end if; end process; end rtl; Library ieee; use ieee.std_logic_1164.all; Entity ex5 is port(a ,b , enable_a,enable_b: in std_logic ; sig: out std_logic); End ex5; Architechture arch of ex5 is begin a_out = a when enable_a else ‘Z’ ; b_out = b when enable_b else ‘Z’ ; process ( a_out) begin sig = a_out ; end process ; process ( b_out ) beg

文档评论(0)

118zhuanqian + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档