VHDL的结构和实体介绍.pptVIP

  1. 1、本文档共37页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
VHDL的结构以及实体介绍(P25) 本课要了解的问题 VHDL的基本结构 什么是实体?实体语句的结构 端口说明语句的格式、使用方法以及硬件模型; INOUT与BUFFER有何异同? 几种典型数据类型BIT、BIT_VECTOR、INTEGER、STD_LOGIC、STD_LOGIC_VECTOR的使用方法。 什么是类属说明语句?如何使用? 复习:集成电路EDA的设计流程 MaxPlus II 设计流程 原理图输入与HDL输入的比较 一、VHDL语言 全名:Very-High-Speed Integrated Circuit HardwareDescription Language 诞生:1982年 1987年,IEEE公布第一个标准版本(87版) 1993年,IEEE公布标准的1076-1993版本 二、一个VHDL程序 三、库语句简介(P30-33) 在利用VHDL进行工程设计时,为了提高效率,常常将一些有用的信息或资料汇集在库中,以供随时调用。 一些预先定义好的数据类型、子程序等设计单元先放到程序包,而程序包存放在库中。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; 格式:LIBRARY 库名; USE 库名. 程序包名. 项目名; 第一句是打开IEEE库;第二句是使用IEEE库中STD_LOGIC_1164程序包的所有内容。 如果项目名是ALL,表明开放库中指定程序包内的所有内容。 三、实体语句(P25-28) ENTITY 实体名称 IS [GENERIC(类属表);] [PORT(端口表);] END 实体名; 注意 ENTITY、IS、END为保留字,不可以更改。 接在ENTITY后面的voter为实体的名称。 实体名必须与END后面的实体名称必须一致,同时它们也必须与文件名称一致。 四、端口说明语句(P28) 格式如下: PORT(端口名:工作模式 数据类型; 端口名:工作模式 数据类型); 端口说明语句描述了硬件的引脚情况。工作模 式说明了引脚上数据的流动方向和使用情况,数 据类型说明了引脚上数据的类别,数据类型关 系到数据的取值和能进行哪些操作。 端口的工作模式及硬件模型 数据类型(P39-42) 整数类型 (INTEGER) 实数类型 (REAL) 位类型 (BIT) 位矢量类型 (BIT_VECTOR) 布尔类型 (BOOLEAN) 数据类型的范围 IEEE预定义标准逻辑位和矢量(P41-42) 1.标准逻辑位(STD_LOGIC)数据类型 STD_LOGIC型数据有如下9种不同的值: ‘U’、‘X’、‘0’、‘1’、‘Z’、‘W’、‘L’、‘H’、‘-’ 在使用STD_LOGIC时,要打开IEEE 库中相应的程序包:   LIBRARY IEEE;   USE IEEE.STD_LOGIC_1164.ALL; 2. 标准逻辑矢量(STD_LOGIC_VECTOR)数据类型 STD_LOGIC_VECTOR是数组,数组中每个元素的数据类型都是标准逻辑位STD_LOGIC。 只有同位宽、同数据类型的矢量之间才能进行赋值。  在使用STD_LOGIC_VECTOR时,要打开IEEE 库中相应的程序包:   LIBRARY IEEE;   USE IEEE.STD_LOGIC_1164.ALL; 典型端口的定义和硬件模型 练习1 请用VHDL语句表示下列端口。 定义一个位类型的输入端口a。 定义一个标准位矢量类型的双向端口b,位宽为8位,高位在上。 请解释下列VHDL语句,并写出硬件模型。 c: OUT STD_LOGIC_VECTOR (0 TO 7); d: BUFFER INTEGER range 0 TO 9; 四、类属说明语句(P28) 类属说明语句定义了一个类属参量,该参量为一种界面常数,可以通过改变该参量的数值来改变电路规模。 类属说明语句的一般格式: GENERIC(常数名:数据类型 [:设定值];常数名:数据类型[:设定值]); 类属说明语句表示的硬件模型 类属说明语句举例 实体语句举例: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY notgate IS PORT( a:IN STD_LOGIC; f:OUT STD_LOGIC ); END notg

文档评论(0)

jdy261842 + 关注
实名认证
文档贡献者

分享好文档!

1亿VIP精品文档

相关文档