EDA课后答案.ppt

  1. 1、本文档共49页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA课后答案整理ppt

习 题 习 题 习 题 library ieee; use ieee.std_logic_1164.all; entity sel4_if is port(s1,s0:in std_logic; a,b,c,d:in std_logic; y:out std_logic); end; architecture behave of sel4_if is begin process(a,b,c,d,s1,s0) begin if(s1=0 and s0=0) then y=a; elsif (s1=0 and s0=1) then y=b; elsif (s1=1 and s0=0) then y=c; else y=d; end if; end process; end; 习 题 library ieee; use ieee.std_logic_1164.all; entity sel4_case is port(s1,s0:in std_logic; a,b,c,d:in std_logic; y:out std_logic); end; architecture behave of sel4_case is signal ss:std_logic_vector(1 downto 0); begin ss=s1s0; process(a,b,c,d,ss) begin case ss is when 00= y=a; when 01= y=b; when 10= y=c; when 11= y=d; when others=null; end case; end process; end; 习 题 习 题 library ieee; use ieee.std_logic_1164.all; entity muxk is port(s1,s0:in std_logic; a1,a2,a3:in std_logic; outy:out std_logic); end; architecture behave of muxk is signal tmp:std_logic; begin process(a2,a3,s0) begin 习 题 case s0 is when 0= tmp=a2; when 1= tmp=a3; when others=null; end case; end process; process(a1,tmp,s1) begin case s0 is when 0= outy=a1; when 1= outy=tmp; when others=null; end case; end process; end; 习 题 习 题 library ieee; use ieee.std_logic_1164.all; entity h_sub is port(x,y:in std_logic; diff,s_out:out std_logic); end h_sub; architecture one of h_sub is begin diff=x xor y; s_out=(not x) and y; end one; 习 题 library ieee; use ieee.std_logic_1164.all; entity or_2 is port(a,b:in std_logic; q:out std_logic); end or_2; architecture one of or_2 is begin q=a or b; end one; 习 题 library ieee; use ieee.std_logic_1164.all; entity f_sub is port(x,y,sub_in:in std_logic; diff,s_out:out std_logic); end f_sub; architecture one of f_sub is component h_sub port(x,y:in std_logic; diff,s_out:out std_logic); end component; 习 题 component or_2 port(a,b:in std_logic; q:out std_logic); end component; signal e,f,g:std_logic; begin h_suber1:h_sub port map(

文档评论(0)

zyzsaa + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档