组合逻辑电路设计设计05第五章B-vhdl基本语素、语法、语句.ppt

组合逻辑电路设计设计05第五章B-vhdl基本语素、语法、语句.ppt

  1. 1、本文档共113页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
组合逻辑电路设计设计05第五章B-vhdl基本语素、语法、语句

* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * (5)状态机设计 我们可以用输入信号X和电路状态Q来描述时序电路的逻辑功能,这时时序电路称为 状态机(State Machine)。 根据输出信号和输入信号以及电路状态的关系,状态机可以分为两种:穆尔(Moore)型和米利(Mealy)型。 Y=F[X,Q] Y=F[Q] 米利(Mealy)型: 穆尔(Moore)型: 状态机示例—序列信号检测器 序列信号:110 序列检测器 CLK Z x S0 S2 0/0 1/0 S1 1/0 0/1 0/0 1/0 Mealy型序列信号检测器—状态图 序列信号:110 S x/Z ‘1’ ‘11’ S0/0 S2/0 0 1 S1/0 1 0 1 Moore型序列信号检测器—状态图 序列信号:110 S/Z x ‘1’ ‘11’ S3/1 ‘110’ 0 0 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY sqs IS PORT( x,clk: in Std_logic; z: out Std_logic); END sqs; Mealy型-VHDL代码转换 ARCHITECTURE a OF mealy IS TYPE STATE_TYPE IS (s0, s1, s2); SIGNAL state: STATE_TYPE; BEGIN Mealy型-VHDL代码转换 PROCESS (clk) BEGIN If (clk’EVENT AND clk = ‘1’) then CASE state IS WHEN s0 = IF din=‘1’ THEN state = s1; else state=s0; END IF; WHEN s1 = IF din=‘1 THEN state = s2; else state=s0; END IF; WHEN s2 = IF din=0 THEN state=s0; else state=s2; END IF; WHEN others = state=s0; END CASE; End if; END PROCESS; z=1 when (state=s2 and din=’0’) else 0; --输出不仅与状态有关,而且和输入有关。 END a; Mealy型-VHDL代码转换 I. Mealy型 Mealy型-VHDL代码转换标准形式 P0: PROCESS (clk) BEGIN IF clkevent and clk=1 THEN current_state=excitation; END IF; END PROCESS p0; P1: PROCESS(current_state,in1) BEGIN CASE current_state IS WHEN s0 = IF in1=1 THEN excitation=s1; END IF; WHEN s1 = IF in1=0 THEN excitation =s2; END IF; WHEN s2 = IF in1=1 THEN excitation =s3; END IF; WHEN s3 = IF in1=0 THEN excitation =s0; END IF; END CASE; END PROCESS p1; I. Mealy型 Mealy型-VHDL代码转换标准形式 P2: PROCESS(current_state,in1) BEGIN

文档评论(0)

zhuliyan1314 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档