第三部分3:VHDL语言-数据类型及操作符.pdf

第三部分3:VHDL语言-数据类型及操作符.pdf

  1. 1、本文档共44页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
可编程逻辑器件与VHDL设计技术 第三部分:VHDL语言(3 ) 北京理工大学雷达技术研究所 陈禾 可编程逻辑器件与VHDL设计技术 本节内容 数据对象 数据类型 运算操作符 可编程逻辑器件与VHDL设计技术 标识符的命名规则(短标识符) 有效字符:英文字母,数字和下划线 必须以英文字母打头 下划线的前后都必须有英文字母或数字 短标识符不区分大小写 不允许使用系统保留字作为用户自定义标 识符 可编程逻辑器件与VHDL设计技术 VHDL语言的数据对象 数据对象也称客体(Object),主要有三种: 信号(signal) 变量(variable) 常量(constant) 可编程逻辑器件与VHDL设计技术 VHDL语言的数据对象 常数(constant):是一个固定的值,常数说明 就是对某一常数名赋予一个固定的值 句法:constant 常数名:数据类型:=表达式; 例: constant VCC : real := 5.0; 变量(variable):只能在进程语句、函数语句 和过程语句结构中使用,是一个局部量 句法:variable 变量名:数据类型约束条件:=表达式; 例:variable x,y : integer; variable count : integer range 0 to 255 := 10; 信号(signal):电路内部硬件连接的抽象 句法:signal 信号名:数据类型约束条件:=表达式; 例:signal clk : std_logic := ‘1’; 可编程逻辑器件与VHDL设计技术 VHDL语言的数据对象 信号和变量区别 变量 “ :=” 变量值一经赋值就变成新的值 变量值可传递给信号 信号 “=” 信号量的值将进程语句最后所带入的值作为最 终代入值 信号值不能传递给信号 可编程逻辑器件与VHDL设计技术 VHDL语言的数据对象 可编程逻辑器件与VHDL设计技术 数据对象定义举例 constant delay : time := 10 ns; Contant fbus: bit_vector:=“0101”; variable sum : real; signal clock : bit; Signal ground : bit :=`0`; 可编程逻辑器件与VHDL设计技术 数据对象 端口默认类为信号 信号和变量都能够连续被赋值 常量只能在说明时被赋值 不能通过赋值更新文件内容 如果在说明信号或变量时没有指定初始 值,系统则认为取默认值,即该类型的最 左值或最小值 可以采用函数调用方式给对象赋值 可编程逻辑器件与VHDL设计技术 数据类型和子类型 在VHDL语言中信号、变量、常数都要指 定数据类型 VHDL具有丰富的数据类型,这使得 VHDL能够创建高层次的系统和算法模型 VHDL是强类型语言,每一个对象具有1个 类型且只能具有那个类型的值 操作类型与对象类型要匹配 标准数据类型和用户自定义类型 可编程逻辑器件与VHDL设计技术 标准数据类型 整数类型integer 实数类型real 布尔类型boolean 位类型bit和位矢量bit_vector 字符character和字符串string 警告级别类型severity_level 自然数natural和正整数positive 物理类型time 可编程逻辑器件与VHDL设计技术 标准数据类型 可编程逻辑器件与VHDL设计技术 标准数据类型说明 整数不能看作是位矢量,也不能按位来进 行访问,对整数不能用逻辑操作符。 当需要进行位操作时,可以用转换函数, 将整数转换成位矢量。 部分EDA工具对以上规定有所突破 建议:用位矢量描述数据对象。 可编程逻辑器件与VHDL设计技术 标准数据类型说明 数据类型限定 任何一个信号和变量都必须落入区间约束中所说 明的值

文档评论(0)

kehan123 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档