《VHDL实用教程》完整版【汉语版】-16第十四章.docVIP

《VHDL实用教程》完整版【汉语版】-16第十四章.doc

  1. 1、本文档共10页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
第 14 章 电子设计竞赛实例介绍 本章首先介绍 97 年全国大学生电子设计竞赛赛题之一 数字频率计的设计示例 主要 基于杭州电子工业学院获得一等奖的设计方案 本项设计比较能反映设计者的电子技术基 础理论 软硬件设计知识和 EDA 技术的应用能力等方面的基本技能 然后介绍与之相关的 通用开发板 § 14.1 多功能等精度频率计 基于传统测频原理的频率计的测量精度将随被测信号频率的下降而降低 在实用中有 较大的局限性 而等精度频率计不但具有较高的测量精度 而且在整个频率区域保持恒定 的测试精度 本项设计的基本指标为 (1) 频率测试功能 测频范围 0.1Hz 70MHz 测频精度 测频全域相对误差恒为百 万分之一 (2) 周期测试功能 信号测试范围与精度要求与测频功能相同 (3) 脉宽测试功能 测试范围 0.1 s 1s 测试精度 0.01 s (4) 占空比测试功能 测试精度 1 99 14.1.1 测频原理 等精度测频的实现方式可以简 化为图 14-1 来说明 图中预置门 控信号是宽度为 Tpr 的一个脉冲 CNT1 和 CNT2 是两个可控计数器 标准频率信号从 CNT1 的时钟输入 端 CLK 输入 其频率为 Fs 经整 形后的被测信号从 CNT2 的时钟输 入端 CLK 输入 设其真实频率值为 Fxe 测量频率为 Fx  图 14-1 基于 ispLSI 的等精度测频法原理框图 当预置门控信号为高电平时 经整形后的被测信号的上沿通过 D 触发器的 Q 端同时启 动计数器 CNT1 和 CNT2 CNT1 CNT2 分别对被测信号频率为 Fx和标准频率信号频 率为 Fs同时计数 当预置门信号为低电平时 随后而至的被测信号的上沿将使这两个计 数器同时关闭 设在一次预置门时间 Tpr 中对被测信号计数值为 Nx 对标准频率信号的计 数值为 Ns 则下式成立 Fx / Nx = Fs / Ns (14-1) 由此可推得 Fx = ( Fs / Ns ) Nx (14-2) 其误差分析如下 若设所测频率值为 Fx 其真实值为 Fxc 标准频率为 Fs 在一次测量中 由于 Fx 计 数的起停时间都是由该信号的上跳沿触发的 在 Tpr 时间内对 Fx 的计数 Nx 无误差 在此 时间内 Fs 的计数 Ns 最多相差一个脉冲 即 | et | 1 则下式成立 Fx/Nx = Fs / Ns (14-3) Fxe / Nx = Fs / (Ns+ et) (14-4) 由此可分别推得 Fx = (Fs / Ns) Nx (14-5) Fxe = [Fs / (Ns+ et )] Nx (14-6) 根据相对误差公式有 Fxe Fxe  |Fxe-Fx| Fxe (14-7) 将式(14-5) (14-6)代入式14-7并整理得 Fxe Fxe = | et| Ns (14-8) | et | 1  | et| Ns  1 Ns (14-9) 即 Fxe 1 Fxe Ns  (14-10) 由上式可以得出以下结论  Ns = Tpr Fs (14-11) (1) 相对测量误差与频率无关 (2) 增大 Tpr 或提高 Fs 可以增大 Ns 减少测量误差 提高测量精度 (3) 标准频率误差为 Fs/Fs 由于晶体的稳定度很高 标准频率误差可以进行校准 (4) 等精度测频方法测量精度与预置门宽度和标准频率有关 与被测信号的频率无关 在预置门时间和常规测频闸门时间相同而被测信号频率不同的情况下 等精度测量法的测 量精度不变 而常规的直接测频法精度随着被测信号频率的下降而下降 测试电路可采用 高频率稳定度和高精度的恒温可微调的晶体振荡器作标准频率发生电路 14.1.2 测频专用模块工作原理和设计 根据以上给出的等精度测频原理 利用 VHDL 设计的测频模块逻辑结构如图 14-2 所示 各模块功能和工作步骤如下 1. 测频/测周期实现 被测信号脉冲从 CONTRL 模块的 FIN 端输入 标准频率信号从 CONTRL 的 FSD 端输入 CONTRL 的 CLR 是此模块电路的工作初始化信号输入端 在进行频率或周期测量时 完成 如下步骤 (1) 令 TF=0 选择等精度测频 然后在 CONTRL 的 CLR 端加一正脉冲信号以完成测 试电路状态的初始化 (2) 由预置门控信号将 CONTRL 的 START 端置高电平 预置门开始定时 此时由被测 信号的上沿打开计数器 CONT1 进行计数 同时使标准频率信号进入计数器 CONT2 (3) 预置门定时结束信号把 CONTRL 的 START 端置为低电平由单片机来完成在 被测信号的下一个脉冲的上沿到来时 CONT1 停止计数

文档评论(0)

rpv75l07 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档