- 1、本文档共56页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
硬件描述语言04、05讲
硬件描述语言及器件 ;教学安排; VHDL主要描述语句;第4讲 顺序处理语句;1 信号代入语句;2 变量赋值语句 ; q;3 变量与信号的区别;信号的多次赋值
一个进程:最后一次赋值有效;
多个进程:不能对同一信号赋值。;变量与信号的区别举例;变量与信号的区别举例;4 CASE 语句 ;CASE 语句(举例:四选一选择器) ;CASE 语句(举例) ;5 IF语句;IF 语句(举例:二选一电路);library ieee;
use ieee.std_logic_1164.all;
entity mux41 is
port (d0, d1, d2, d3: in std_logic;
s: in std_logic_vector (1 downto 0);
x: out std_logic);
end mux41;
architecture archmux of mux41 is
begin
process (s, d0, d1, d2, d3)
begin
if s = 00 then x = d0;
elsif s = 01 then x = d1;
elsif s = 10 then x = d2;
else x = d3;
end if;
end process;
end architecture mux;;LOOP语句:能使程序进行有规则的循环,循环的次数受迭代算法的控制,常用来描述迭代电路的行为。LOOP语句包含重复执行的一组顺序语句;6 LOOP语句;LOOP语句-FOR循环;LOOP语句-WHILE循环;LOOP语句-WHILE循环举例;7 NEXT语句;8 EXIT语句;9 WAIT语句;WAIT句格式;WAIT句格式;WAIT句应用;10 数据对象属性(attribute)描述;属性 ’event;属性 ’stable;并行处理语句:结构体是描述一个设计实体内部的详细内容,其中,顺序语句用来实现这个详细内容的算法,而并行语句用来表示这个详细内容的内部连接关系。并行语句的书写顺序并不代表其执行的顺序,它们是并行执行的。常用的并行语句:; 在用原理图描述一个较复杂电路时,为了便于设计和调试,设计者往往会把整个电路分成若干个相对独立的模块进行描述。
在用VHDL设计时,设计者同样也是将一个结构体分成若干个相对独立的模块来描述。模块可以用四种不同的形式来描述,即:
一系列的顺序语句;
块(BLOCK)语句;
进程(PROCESS)语句;
子程序(SUBPROGRAM)语句(包含过程(PROCEDURE)语句和函数(FUNCTION)语句);
也就是说,模块既可以用顺序语句来描述,也可以用并发语句来描述。然而,用多个进程(PROCESS)语句是结构体最基本的表示方法。;1 进程(prosess)语句;2 信号代入语句;3 条件信号代入语句;3 条件信号代入语句;3 条件信号代入语句;4 选择信号代入语句;4 选择信号代入语句;4 选择信号代入语句;5 块(block)语句;块(block)语句举例;6 元件例化语句;元件例化语句方式;元件例化语句(举例:用二选一实现四选一数据选择);元件例化语句(举例:用二选一实现四选一数据选择);7 生成(GENERATE)语句;生成(GENERATE)语句格式;生成(GENERATE)语句组成;生成(GENERATE)语句:描述用D触发器组成的八位移位寄存器; ; ;例: IF- GENERATE模式生成语句应用
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY shift_reg_8 IS
PORT ( Din, CLK: IN STD_LOGIC;
Dout: OUT STD_LOGIC );
Q: BUFFER STD_LOGIC_VECTOR ( 7 DOWNTO 0 ));
END shift_reg_8;
ARCHITECTURE example14 OF shift_reg_8 IS
COMPONENT ff_d;
PORT ( d, cp: IN STD
您可能关注的文档
- 矿图(四)煤矿地质图.ppt
- 砂型工技能鉴定试题.8.6.doc
- 矿测二+++井下高程测量.ppt
- 矿测二井下高程测量.ppt
- 矿统实习讲义实习2回归分析法.doc
- 砂浆委托.9.18.doc
- 石英玻璃工艺与材料PPT课件.ppt
- 砂型铸造23891.ppt
- 砌体结构(二版)胡乃君1砌体材料及砌体的力学性能.ppt
- 破乳剂副本.ppt
- 2023年新版征信报告详细版征信报告模板-Word-可编辑-有水印(1).doc
- C++类的继承与派生 实验报告(1).doc
- 安全生产费用财务管理与核算制度(1).doc
- 2024年中国少数民族民俗知识竞赛试题库及答案(完整版)(1).doc
- 2023年中山市房地产市场年报(扫描版)-世联行(1).pptx
- XLS3000智能消防报警系统产品手册-霍尼韦尔(16页)-有哪些信誉好的足球投注网站(1).doc
- 毕业论文--叉车门架优化设计及三维建模(1).doc
- 2023年中国无线电管理《条例》修订实施:工信部解读报告模板(1).pptx
- 2024年济南市莱芜区社区工作者招聘笔试冲刺题(带答案解析)(1).doc
- Regulation (EU) 2023_1230 欧盟机器法规2023_中文版(1).doc
最近下载
- 2023-2024学年六年级数学小升初思维拓展培优讲义(通用版)(尖子生培优讲义)差倍问题(知识精讲+拓展培优).docx VIP
- 初中生物教学中探究性学习的有效性教学研究课题报告.docx
- 基于地理信息的变电站选址问题研究.docx VIP
- (唐)李峤《风》教学课件.pptx
- 23S516混凝土排水管道基础及接口图集.pdf VIP
- 2023-2024学年六年级数学小升初思维拓展培优讲义(通用版)(尖子生培优讲义)用假设法解鸡兔同笼(知识精讲+拓展培优).docx VIP
- 施工电梯基础回顶专项方案.doc
- 系统集成合同【荐】.doc VIP
- 2023-2024学年六年级数学小升初思维拓展培优讲义(通用版)(尖子生培优讲义)年龄问题(知识精讲+拓展培优).docx VIP
- 绿化工程消防措施方案.docx VIP
文档评论(0)