2QuartusII及其原理图设计.ppt

  1. 1、本文档共76页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
编译注意 编译注意 编译注意 计数器模块 QUARTUS II –基于LPM宏单元库的设计 (1)输入altpll宏功能模块 选择芯片和设置参考时钟 QUARTUS II –基于LPM宏单元库的设计 锁相环控制信号设置 QUARTUS II –基于LPM宏单元库的设计 输入时钟设置 QUARTUS II –基于LPM宏单元库的设计 (2)编译和仿真 锁相环电路 功能仿真波形 QUARTUS II –基于LPM宏单元库的设计 ROM(Read Only Memory,只读存储器)是存储器的一种,利用FPGA可以实现ROM的功能,但其不是真正意义上的ROM,因为FPGA器件在掉电后,其内部的所有信息都会丢失,再次工作时需要重新配置。 Quartus II提供的参数化ROM是lpm_rom,下面用一个乘法器的例子来说明它的使用方法,这个例子使用lpm_rom构成一个4位×4位的无符号数乘法器,利用查表方法完成乘法功能。 存储器模块 QUARTUS II –基于LPM宏单元库的设计 数据线、地址线宽度设置 QUARTUS II –原理图设计例子 QUARTUS II –原理图设计例子 QUARTUS II –Functional Simulation功能仿真 功能仿真:验证综合电路逻辑运算单元的正确性,不考虑器件的时延,算是理想状态下的仿真,Quartus II程序默认timing simulation QUARTUS II –Functional Simulation功能仿真 QUARTUS II –Functional Simulation功能仿真 QUARTUS II –Functional Simulation功能仿真 QUARTUS II –Timing Simulation功能仿真 时序仿真:通过功能仿真验证设计文件的正确性,就压考虑综合电路遇到的时延问题。时序仿真同时验证电路的逻辑和时序正确性。 QUARTUS II –习题一 1-1 基于Quartus II软件,用D触发器设计一个2分频电路,并做波形仿真,在此基础上,设计一个4分频和8分频电路,做波形仿真。 选择菜单File-Create/Update-Create Symbol Files for Current File将二分频生成一个元件符号,以供调用,可用来生成4分频,8分频,当然也可以直接将2分频的输出信号作为下一个分频器的输入信号 要设置正确的工作文件为Top-Level 要设置正确和工作文件匹配的vwf文件 QUARTUS II –习题一 1-2 基于Quartus II软件,用7490设计一个能计时(12小时)、计分(60分)和计秒(60秒)的简单数字钟电路。设计过程如下: (1)先用Quartus II的原理图输入方式,用7490连接成包含进位输出的模60的计数器,并进行仿真,如果功能正确,则将其生成一个部件; (2)将7490连接成模12的计数器,进行仿真,如果功能正确,也将其生成一个部件; (3)将以上两个部件连接成为简单的数字钟电路,能计时、计分和计秒,计满12小时后系统清0重新开始计时。 (4)在实现上述功能的基础上可以进一步增加其它功能,比如校时功能,能随意调整小时、分钟信号,增加整点报时功能等。 注意总线的画法和定义 要查找器件的资料,确保管脚定义,及其管脚工作状态 注意同步清零和异步清零的方法 QUARTUS II –习题一 1-3 基于Quartus II软件,用部分积右移方式设计实现一个4位二进制乘法器,选择合适的器件,画出电路连接 计数 × × × × H H H H 保持 × × × × × L × H H 保持 × × × × × × L H H D C B A D C B A × × L H L L L L × × × × × × × × L QD QC QB QA D C B A CP ET EP LD RD 输出 预置数据输入 时钟 使能 预置 清零 1-4 基于Quartus II软件,用74161设计一个模99的计数器,个位和十位都采用8421BCD码的编码方式设计,分别用置0和置1两种方法实现,完成原理图设计输入、编译、仿真和下载整个过程。 QUARTUS II –习题一 QUARTUS II –习题一 1-5 基于Quartus II软件,用7490设计一个模71计数器,个位和十位都采用8421BCD码的编码方式设计,完成原理图设计输入、编译、仿真和下载整个过程。 1-6 基于Quartus II,用74283(4位二进制全加器)设计实现一个8位全加器,并进行综合和仿真,查看综合结果和仿真结果。 QUARTUS II –习题一 1-7 基于Qu

文档评论(0)

junjun37473 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档