EDA课后作业.ppt

  1. 1、本文档共31页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
4-1画出与下例实体描述对应的原理图符号元件: ENTITY buf3s IS -- 实体1: 三态缓冲器 PORT (input : IN STD_LOGIC ; -- 输入端 enable : IN STD_LOGIC ; -- 使能端 output : OUT STD_LOGIC ) ; -- 输出端 END buf3x ; ENTITY mux21 IS --实体2: 2选1多路选择器 PORT (in0, in1, sel : IN STD_LOGIC; output : OUT STD_LOGIC); (1) 分析设计要求,列出全部可能状态: 未收到一个有效位(0) :S0 收到一个有效位(1) :S1 连续收到两个有效位(11) :S2 连续收到三个有效位(110) :S3 连续收到四个有效位(1101):S4 (2) 状态转移图: (Moore型) ③ 状态转移图 (Mealy型) -- MEALY型状态机 ARCHITECTURE TWO OF EX7 IS TYPE STATE IS (S0, S1, S2, S3); SIGNAL C_ST, N_ST : STATE; BEGIN REG : PROCESS (CLK, RESET) BEGIN IF RESET = 1 THEN C_ST = S0; ELSIF CLKEVENT AND CLK = 1 THEN C_ST = N_ST;END IF; END PROCESS; COM : PROCESS (C_ST, INA) BEGIN CASE C_ST IS WHEN S0 =N_ST = S1;IF INA = 101 THEN OUTA = 0010; ELSIF INA = 111 THEN OUTA = 1100; ELSE OUTA = 0000;END IF; WHEN S1 =OUTA = 1001; IF INA = 000 THEN N_ST = S1; ELSIF INA = 110 THEN N_ST = S2; ELSE N_ST = S1;END IF; WHEN S2 =OUTA = 1111; IF INA = 100 THEN N_ST = S2; ELSIF INA = 011 THEN N_ST = S1; ELSE N_ST = S3;END IF; WHEN S3 =IF INA = 101 THEN OUTA = 1101; ELSIF INA = 011 THEN OUTA = 1110; ELSE OUTA = 0000;END IF; N_ST = S3; WHEN OTHERS = N_ST = S0; OUTA = (OTHERS = 0); END CASE; END PROCESS; END TWO; * * 4-2. 图4-17所示的是4选1多路选择器,试分别用IF_THEN语句和CASE语句的表达方式写出此电路的VHDL程序。 选择控制的信号s1和s0的数据类型为STD_LOGIC_VECTOR; 当s1=0,s0=0;s1=0,s0=1;s1=1,s0=0和s1=1,s0=1分别执行y=a、y=b、y=c、y=d。 图4-17 4选1多路选择器 使用IF…THEN语句: Library ieee; use ieee.std_logic_1164.alll; Entity mux41 is Port(s0,s1,a,b,c,d:in std_logic; y:out std_logic); End mux41; Architecture one of mux41 is Signal s10:std_logic_vector(1 downto 0); Begin s10=s1 s0; process(s10,a,b,c,d) begin if s10=“00” then y=a; elsif s10=“01 then y=b; elsif s10=“10” then y=c; else y=d; end if ; end process; End one; 使用C

文档评论(0)

junjun37473 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档