quartus的使用_简易操作手册.pdfVIP

  1. 1、本文档共20页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
quartus的使用_简易操作手册

Quartus II 的使用 1、开始一个新的逻辑电路设计,第一步就是新建一个文件夹来保存文件,这里在D 盘新建 了introtutorial 文件夹。 2 、打开Quartus II 软件,将会看到图1 界面,该显示界面包括若干窗口,可以使用鼠标选 择,以访问Quartus II 软件的相关功能。Quartus II 提供的大多数命令都可用菜单形式来 访问。 图1 Quartus II 主体显示 3、按如下步骤新建工程: ① 选择 FileNew Project Wizard ,以打开图 2 所示窗口,可通过 Dont show me this introduction again 跳过此窗口步骤。单击Next ,出现图3 所示窗口。 图2 引导的任务显示 图3 创建新的工程 ② 选择工作文件夹introtutorial ,也可以使用您自己设定的文件夹。工程必须有一个名字, 通常情况下,与顶层设计实体的名字相同。如图3 所示,这里选择light 作为工程名和顶层 实体名。单击Next 。如果还没有创建introtutorial 文件夹,Quartus II 会弹出一个对话框, 询问是否新建所需文件夹,如图4 所示。单击Yes ,将会引出图5 所示的窗口。 图4 创建新的工程文件夹与否? 图5 添加用户指定设计文件 ③ 如果没有已存在的设计文件,单击Next ,将会打开如图6 所示的画面。 图6 选择器件家族和指定的器件 ④此处选择的器件为EPM3064ATC100-10,器件家族为MAX3000A ,有100 个管脚,单击 Next ,出现图所示7 画面。 图7 指定第三方EDA 工具 ⑤用户可指定一些第三方EDA 工具,这里没有进行Simulation 选用,单击Next ,出现图8 所示窗口,单击Finish ,返回Quartus II 主体窗口。标题栏将显示light 工程,如图9 所示。 图8 工程设置一览 图9 Quartus II 显示已建工程 3 使用Verilog 代码设计输入 使用Verilog 代码来描述。Verilog 模块叫做light ,这与图3 所示的名称是一致的,使用Quartus II 自带的文本编辑器,左键点击 FileNew 或者单击 按钮,打开图 10 所示窗口,选择 Verilog HDL File ,单击 ok ,这将打开文本编辑器窗口,指定所创建的文件的名称,选择 FileSave As ,打开图11 所示的对话框,选择存储类型为Verilog HDL File ,填写名称为 light.v ,单击保存,打开图12 所示窗口。 图10 选择新建的文件类型 图11 命名文件 图12 文本编辑器窗口 4 编译设计电路 在light.v 中录入Verilog 代码如图13 所示,要经过几个Quartus II 工具的处理:分析代码、 综合电路以及生成目标芯片的实现内容,这些应用程序被聚集在一起,且被称作编译器。选 择 ProcessingStart Compilation 运行编译器,或者单击 按钮运行。在编译过程中, Quartus II 的左边会显示不同阶段的进度。编译成功(或不成功)后,会弹出一个对话框, 单击OK 确认。Quartus II 会显示图 14 所示画面的编译报告,也可以通过 按钮手动打 开编译报告。。当编译后,会自动弹出对话框,告知是否编译成功如图 15。 图13 录入Verilog 代码

文档评论(0)

celkhn5460 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档