- 1、本文档共15页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
Support of SDC Timing Constraints
1. Clock(时钟):
create_clock命令为任何register, port或pin进行时钟特性描述,使其具有独一的时钟特性。
create_clock -period period value [-name clock name] [-waveform edge list] [-add] targets
create_clock Command Options
选项 描述 -period period value 指定时钟周期 [-name clock name] 指定时钟名称(不一定是约束时钟的节点名称) [-waveform edge list] 指定时钟上升沿/下降沿 [-add] 可以对一个时钟节点进行多个时钟约束 targets 指定你要约束的时钟(目标节点)
Example 1-1约束时钟频率100MHz,占空比50%,0ns上升沿,5ns下降沿。
create_clock –period 10 –waveform { 0 5 } clk
Example 1-2 和上例相差90度的相位。
create_clock –period 10 –waveform { 2.5 7.5 } clk_sys
使用create_clock命令约束时钟缺省的source Latency值为0。Quartus II TimeQuest Timing Analyzer自动为非虚拟时钟(non-virtual clocks)计算时钟网络延时(clock’s network latency)。
Quartus II Handbook, Volume 3 6-29
生成时钟(Generated Clocks)
Quartus II TimeQuest Timing Analyzer可以把修改或改变主时钟(或者引入时钟)特性的分频时钟、波纹时钟和电路作为生成时钟。
你可以定义这些电路的输出作为生成时钟。这些定义可以让Quartus II TimeQuest Timing Analyzer分析这些时钟以及关联的时钟网络延时(network latency)。
使用create_generated_clock命令定义生成时钟。
Example 6–5. create_generated_clock Command
create_generated_clock
[-name clock name]
-source master pin
[-edges edge list]
[-edge_shift shift list]
[-divide_by factor]
[-multiply_by factor]
[-duty_cycle percent]
[-add]
[-invert]
[-master_clock clock]
[-phase phase]
[-offset offset]
targets
Table 6–7. create_generated_clock Command Options
选项 描述 -name clock name 生成时钟名 -source master pin 指定被设定的时钟节点 -edges edge list |
-edge_shift shift list -edges指定和主时钟的上升沿和下降沿有关的新的上升沿和下降沿 -divide_by factor |
-multiply_by factor -divide_by和-multiply_by要素是基于第一个时钟上升沿,通过factor设定来延长或者缩短指定要素的波形 -duty_cycle percent 指定生成时钟的占空比 -add 允许你对同一个管脚添加多个时钟约束 -invert -master_clock clock 用于主管脚上有多个时钟存在时指定一个主时钟 -phase phase 指定生成时钟的相位 -offset offset 指定生成时钟的偏移 targets 指定被分配到的目标管脚
源延时是由于从主时钟(不一定是主管脚)开始的时钟网络延时所致。你可以使用set_clock_latency –source命令约束源延时。
Figure 6–17 展示了如何产生一个基于10ns时钟的反向生成时钟:
Figure 6–17. Generating an Inverted Clock
create_clock -period 10 [get_ports clk]
create_generated_clock -divide_by 1 -invert -source [get_regis
您可能关注的文档
最近下载
- 第一章 2.2 水量平衡.ppt
- 《GB/T 19326-2022锻制支管座》.pdf
- 2022年11月陕西省从优秀村社区干部中考试录用200名乡镇街道机关公务员上岸冲刺卷I含答案详解版(3套).docx VIP
- 2020年银行业从业人员职业操守和行为准则.pdf VIP
- 转预备党员思想汇报【银行】.pdf VIP
- 【新教材】人教版(2024)七年级上册英语Unit 4 My Favourite Subject教案.docx
- 米厂恒温仓库工程设计方案.docx
- 2024年党校入党积极分子培训考试必考重点知识汇编(共160题).doc VIP
- 《世界经典神话与传说故事》 测试题及答案.pdf
- 智能制造设备安装与调试职业技能等级标准(2021年).pdf
文档评论(0)