VGA产生行同步(HSYNC).pdf

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VGA产生行同步(HSYNC)

Verilog VGA Verilog VGA 【原创】基于VVeerriilloogg 的VVGGAA显示控制(有源码) 一、VGA时序 下面的图是本人画了一个晚上的结果,个人认为能够比较详细的阐述VGA的 信号时序。 VGA的时序根据不同的显示分辨率和刷新频率会有变化,具体各种类型的时 序信息可以参考下面的网站,这里非常详细的说明的每一种显示模式的VGA时序 信息。 /vga-timing 二、VGA电平 VSYNC,HSYNC为标准TTL电平,0V~3.3V。 RGB的电平在0V~0.7V之间(0V为黑色,0.7V为全色)。 三、程序顶层框图 VGA产生行同步(HSYNC),场同步信号(VSYNC),并产生每个像素的地址输入 单口ROM(显存)中,ROM输出该点需要显示的颜色值。 四、单口ROM(显存)设计 程序的显示模式为800*600,72Hz刷新频率,像素频率为50MHz。每个像素 需要显示的颜色存储在单口RAM中,每种颜色用8个字节表示,则如果要显示8 00*600分辨率,则需要800*600字节(480KB)的单口ROM,由于FPGA内部没有 这么大的RAM(我用的是ep2c8),因此我把屏幕上100*100个像素组成的矩形 作为一个逻辑像素(即显示同一种颜色),这样只要8*6字节(48字节),用F PGA自带的RAM是很容易实现的。 ROM中颜色存储地址表 将全屏划分成8*6的方格,每个方格的颜色存储在ROM中,VGA控制器不断产生 行坐标(ROM水平地址)和场坐标(ROM垂直地址),最后组合成ROM实际地址 输入ROM中,ROM输出该地址的颜色值,显示在LCD中。 五、程序设计 VGA控制器程序 module VGA(clk,rst_n,hsync,vsync,vga_r,vga_g,vga_b); input clk; //50MHz input rst_n; //复位信号 output hsync; //行同步信号 output vsync; //场同步信号 // R、G、B信号输出 output[1:0] vga_r; output[2:0] vga_g; output[2:0] vga_b; // reg[10:0] x_cnt; //行坐标(这里包括了行同步、后沿、有效数据区、前 沿) reg[9:0] y_cnt; //列坐标(这里包括了场同步、后沿、有效数据区、前 沿) reg[5:0] Xcoloradd; reg[2:0] Ycoloradd; paramet

文档评论(0)

xxj1658888 + 关注
实名认证
内容提供者

教师资格证持证人

该用户很懒,什么也没介绍

领域认证该用户于2024年04月12日上传了教师资格证

1亿VIP精品文档

相关文档