FPGA常用调试技术.ppt

  1. 1、本文档共33页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
FPGA常用调试技术概要1

5 其他方法 五 其他方法 其它方法 如果在线调试还是不能很好地定位问题,只能采用其它调试工具。可供选择的工具也有很多,小到万用表,大到示波器、逻辑分析仪等。示波器能够看到十分精细的模拟波形,逻辑分析仪拥有更强大的触发功能和长存储功能,这些都是在线调试工具无法比拟的。但是,这些工具一般只能检查FPGA外部的信号质量以及波形的时序等。所以,在比较高端的调试中,需要FPGA在线调试工具与其它高级工具相互配合,相互补充,才能达到调试的目的。 谢谢大家 FPGA常用调试技术 FPGA常用调试技术 1 4 3 5 查看综合报告 查看时序分析报告 仿真测试 其他方法 在FPGA开发过程中,对于设计中存在的错误和缺陷,我们常用以下方法进行定位 2 在线调试 1 查看综合报告 一 查看综合报告 查看综合报告 综合工具在分析代码时,对于可能出问题的 地方,会予以警告。 很多警告是无关紧要的,而某些警告则是需 要根据具体情况来确定它的严重程度的。 设计者可以通过某些警告发现工程中潜在的 错误。 这些潜在的错误往往是由于较差的coding style或是人为疏忽导致的。 一 查看综合报告 XST综合工具中几种常见的warning 1.未用端口 WARNING:Xst:1306 - Output clk12M is never assigned. 这个warning表示一个称为clk12M的 输出端口没有被赋值。 WARNING:Xst:647 - Input RST_n is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. 这个warning表示一个称为RST_n的输入 端口没有在模块中使用,这个输入端口将 会被保留。 一 查看综合报告 一 查看综合报告 2.赋值与使用缺其一 WARNING:Xst:646 - Signal clk12M_buf is assigned but never used. This unconnected signal will be trimmed during the optimization process. 这个warning表示一个称为 clk12M_buf 的信号在模块中被赋值,但是没有用于其它 逻辑的驱动,这个信号将会在optimization 环节中被优化掉。 一 查看综合报告 WARNING:Xst:653 - Signal B is used but never assigned. This sourceless signal will be automatically connected to value 这个warning表示一个称为B的信号在模块 中被使用,但是它却没有被赋值。那么这个 信号将被自动地为驱动源。 一 查看综合报告 3.存在latch WARNING:Xst:737 - Found 1-bit latch for signal clk6M. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. 这个warning表示一个称为 clk6M 的信号 可能存在latch,这个latch 可能是由于一个 不完整的case分支语句或是不完整的条件语 句而导致的。这个latch不会被优化,可能会 导致某些时序问题。 4.信号被优化为固定值 WARNING:Xst:1710 - FF/Latch data_E_buf_7 (without init value) has a constant value of 0 in block debug_warning. This FF/Latch will be trimmed during the optimization process. 这个warning表示一个称为 data_E_buf_7 的信号在debug_warning这个模块中拥 有一个固定值0。这个信号将会optimization 环节中被优化掉。 一 查看综合报告 一 查看综合报告 5.组合逻辑回环 WARNING:Xst

文档评论(0)

yaocen + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档