南理工DDS实验报告.docx

  1. 1、本文档共35页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
南理工DDS实验报告

摘要报告内容为设计一个具有清零、使能、频率控制、相位控制、输出多种波形(包括正余弦、锯齿波、方波)、提高计算精度,经过D/A转换之后能在示波器上显示的直接数字频率合成器。直接数字频率合成技术是一项非常实用的技术,它广泛的应用于数字通信系统。报告分析了DDS的设计原理和整个电路的工作原理,介绍了ROM查找表设计和相位累加器设计,还分别说明了各子模块的设计原理和调试、仿真、编程下载的过程。在试验中我们用到了QuartusII 7.0软件。关键词:DDSQUARTUS IISmartSOPC实验系统ROM频率相位控制 SummaryThe report tells Direct digital synthesizer can reset、 control using、change frequency and phase、output various waveform(including sine(cosine),sawtooth, square waveform)、 increase accuracy,and displayed on the oscilloscope after D/A conversion。 Direct digital synthesizer technology is a useful subject ,it’s widely applied in digital communication。Also,it analyzes the theory and design about direct digital synthesize(DDS) and analyzed the principle of all work and explained the designing principle of different parts separately and describes the principle and features of DDS 。The realizing method of ROM finding-table and phase counter and introduced in detail。At thesame time it introduced the debugging, simulating, compiling, programming。with the help of QuartusII 7.0 we complete well。Keyword:DDS QUARTUSIISmartSOPC experimentsystem ROM control frequencyand phase一、设计内容设计一个频率及相位均可控制的具有正弦和余弦输出的直接数字频率合成器(Direct Digital Frequency Synthesizer 简称DDFS或DDS)。二、设计要求1、基本电路:1、利用QuartusII软件和SmartSOPC实验箱实现DDS的设计;2、DDS中的波形存储器模块用Altera公司的Cyclone系列FPGA 芯片中的RAM实现,RAM结构配置成212×10类型;3、具体参数要求:频率控制字K取4位;基准频率fc=1MHz,由 实验板上的系统时钟分频得到;4、系统具有使能功能;5、利用实验箱上的D/A转换器件将ROM输出的数字信号转换为模拟信号,能够通过示波器观察到正弦波形;6、通过开关(实验箱上的Ki)输入DDS的频率和相位控制字, 并能用示波器观察加以验证;7、能够同时输出正余弦两路正交信号;2、附加电路:1、通过按键(实验箱上的Si)输入DDS的频率和相位控制字,以扩大频率控制和相位控制的范围;(注意:按键后有消颤电路)2、充分考虑ROM结构及正弦函数的特点,进行合理的配置,提高计算精度3、设计能输出多种波形(三角波、锯齿波、方波等)的多功能波形发生器;三、方案论证1.DDS的原理框图组成框图:工作流程图:核心单元电路示意图图:2.工作原理DDS即Direct Digital Frequency Synthesizer直接数字频率合成器,是一种新型的频率合成技术。如图所示,DDS的基本结构主要由相位累加器、相位调制器、波形存储器,D/A转换器构成。相位累加器由N位加法器和N位寄存器构成。每来一个时钟fc,加法器就将频率控制字K与累加寄存器输出的累加相位数据相加,相加结果反馈至累加寄存器的输入端,使加法器在下一个时钟的作用下继续与频率控制字相加。这样就可以不断对频率控制字进行线性相位累加。因此,相位累加器在每一个时钟脉冲输入时,频率控制字累加一次,相位累加器输出的数据就是合成信号的相位,相位累加器的溢出频率就是DDS输出的信号频率。

文档评论(0)

dajuhyy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档