实验六 自选内容.ppt

  1. 1、本文档共64页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
实验六 自选内容

实验六(一) 信号发生器 实验要求: LPM定制方法实现。 信号数字值存储在ROM中,可以是64个或128个,位长8位。 产生的信号可以是正弦波或方波、三角波、锯齿波等,自选。 用SignalTap逻辑分析。 实验六(二) 数字相关器 采用流水线技术完成高速数字相关器设计。具体要求如下: 输入为两路16位串行序列; 先设计并行16位数字相关器,估计最大延时,并计算可能运行的最高频率; 使用流水线技术在1位数字相关器的输入、输出及每一级组合逻辑的结果处加入流水线寄存器,改善其运行速度,估计最大延时,并计算可能运行的最高频率; 速度优化方法 优化设置与时序分析 实验六(三) 自动换挡频率计 由一个4位十进制数码管(含小数点)显示结果; 测量范围为1Hz~9999KHz; 能自动根据7位十进制的结果,自动选择有效数据的高4位进行动态显示(即量程自动转换),小数点表示是千位,即KHz; 为检测设计正确与否,应将第2时钟通过PLL和手控分频器产生宽范围的多个频率来测试自动换档频率计功能。 实验六(四)直接数字式频率合成器 DDS设计 6. 时序设置与分析 图9-14 全编译前时序条件设置(设置时钟信号CLK不低于130MHz) 图9-15 由Timing Wizard窗口设置时序条件 ) 7. 查看时序分析结果 图9-16 时序分析报告窗 图9-17 Timing Analyzer Tool项进入的时序分析报告窗 适配优化设置示例 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY SINGT IS PORT ( CLK : IN STD_LOGIC; DOUT : OUT INTEGER RANGE 255 DOWNTO 0 ); END; ARCHITECTURE DACC OF SINGT IS SIGNAL Q : INTEGER RANGE 63 DOWNTO 0 ; SIGNAL D : INTEGER RANGE 255 DOWNTO 0 ; BEGIN PROCESS(CLK) BEGIN IF CLKEVENT AND CLK = 1 THEN IF Q 63 THEN Q = Q + 1; ELSE Q = 0 ; END IF; END IF; END PROCESS; PROCESS(Q) BEGIN CASE Q IS WHEN 00= D=255; WHEN 01= D=254; WHEN 02= D=252; WHEN 03= D=249; WHEN 04= D=245; WHEN 05= D=239; WHEN 06= D=233; WHEN 07= D=225; WHEN 08= D=217; WHEN 09= D=207; WHEN 10= D=197; WHEN 11= D=186; WHEN 12= D=174; WHEN 13= D=162; WHEN 14= D=150; WHEN 15= D=137; WHEN 16= D=124; WHEN 17= D=112; WHEN 18= D= 99; WHEN 19= D= 87; WHEN 20= D= 75; WHEN 21= D= 64; WHEN 22= D= 53; WHEN 23= D= 43; WHEN 24= D= 34; WHEN 25= D= 26; WHEN 26= D= 19; WHEN 27= D= 13; WHEN 28= D= 8; WHEN 29= D= 4; WHEN 30= D= 1; WHEN 31= D= 0; WHEN 32= D= 0; WHEN 33= D= 1; WHEN 34= D= 4; WHEN 35= D= 8; WHEN 36= D= 13; WHEN 37= D= 19; WHEN 38= D= 26; WHEN 39= D= 34; WHEN 40= D= 43; WHEN 41= D= 53; WHEN 42= D= 64; WHEN 43= D= 75; WHEN 44= D= 87; WHEN 45= D= 99; WHEN 46= D=112; WHEN 47= D=124; WHEN 48= D=137; WHEN 49= D=150; WHEN 50= D=162; WHEN 51= D=174; WHEN 52= D=186; WHEN 53= D=197; WHEN 54= D

您可能关注的文档

文档评论(0)

dajuhyy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档