状态机20110930.ppt

  1. 1、本文档共45页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
状态机20110930

AD574A 1 2 3 4 5 6 7 8 9 10 11 12 13 14 28 27 26 25 24 23 22 21 20 19 18 17 16 15 STS DB11 DB10 DB9 DB8 DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 DG VL 12/8 CS A0 R/C CE VCC RUT AG RIN VEE BIF 10IN 20IN 输 出 转 换 后 的 数 据 12 位 转换结束状态位 数字地 模拟 信号 输入 单极性方式 双极性方式 片选端 VCC -12V +12V R1 RF AD574电路原理图 A/D转换启动 A/D数据 输出控制 12位或8位输出有效控制信号 4.4.2.1 二进程有限状态机 图4-3 AD574工作时序 A/D转换和数据 输出控制信号 转换结束状态位 A/D转换数据 输出显示 4.4.2.1 二进程有限状态机 图4-4 AD574工作时序 PROCESS REG 时序进程 PROCESS COM 组合进程 PROCESS LATCH 锁存器 current_state next_state LOCK 状态机FSM FPGA/CPLD CLK CS A0 RC K12/8 STATUS D[11..0] AD574 Q[11..0] 模拟信号输入 采样数据输出 【例4-2】 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY AD574 IS PORT (D:IN STD_LOGIC_VECTOR(11 DOWNTO 0); CLK,STATUS:IN STD_LOGIC;--状态机时钟CLK,转换结束状态信号STATUS LOCK0 :OUT STD_LOGIC; --内部锁存信号LOCK的测试信号 CS,A0,RC,K12X8:OUT STD_LOGIC; --A0转换启动信号和输出控制信号 --CS片选,RC转换和输出控制信号 --K12X8是12位或8位输出有效控制信号 Q:OUT STD_LOGIC_VECTOR(11 DOWNTO 0)); --锁存数据输出显示 END AD574; ARCHITECTURE behav OF AD574 IS TYPE states IS (st0, st1, st2, st3,st4);--定义状态子类型 SIGNAL current_state, next_state: states:=st0 ; SIGNAL REGL : STD_LOGIC_VECTOR(11 DOWNTO 0);--A/D转换数据锁存器 SIGNAL LOCK : STD_LOGIC; --转换后数据输出锁存时钟信号 BEGIN K12X8 = ‘1’; --8位并行输出有效 LOCK0 = LOCK ; COM: PROCESS(current_state,STATUS) --规定各状态转换方式 BEGIN CASE current_state IS WHEN st0 =CS =1;A0=‘0;RC =‘0;LOCK=‘0; next_state = st1;--AD574采样控制初始化,初态st0向下一状态转换st1 接下页 WHEN st1 = CS =‘0;A0=‘0;RC =‘0;LOCK=‘0; next_state = st2; --打开片选,启动8位转换 WHEN st2 = CS =‘0;A0=‘0;RC =‘0;LOCK=‘0; IF (STATUS=‘1’) THEN next_state = st2; --转换未结束,等待 ELSE next_state = st3; --转换结束,进入下一个状态 END IF ; WHEN st3= CS =‘0

文档评论(0)

dajuhyy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档