第12章 Verilog TUI调试.ppt

  1. 1、本文档共64页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第12章 Verilog TUI调试

复习 问题 SimVision的五个主要基于窗口的元件是什么? 怎样显示设计层次? 怎样在你的设计中显示和监视一组信号?、 可以从观察对象窗口中在一个对象上设置一个断点? 怎样确定一个驱动的作用信号? 解答: SimVision的五个主要基于窗口的元件为SimControl,Navigator,Watch Objects,Signal Flow Browser和SignalScan 波形观察器。 可以用navigator显示并在设计层次中浏览。Navigator显示范围,对象和对象值。可以在Source Browser中显示每个范围的源代码。 可以用对象观察窗口查看一组信号及其值。 是的,可以从对象观察窗口在一个对象上设置一个断点,或可以用Find Next Edge按钮高效地设置一个断点,仿真并去除断点。 可以通过用信号流浏览器跟踪一个驱动的作用信号来找出这些信号。这在确定一个问题信号的源头很有用。可以反向跟踪驱动及其作用信号直到发现与预期行为不同信号。 保存及重启动仿真 在Verilog-XL中,可以在交互式模式或在源代码中使用下列命令: $save和$incsave命令保存整个仿真数据结构。 $restart或-r命令行选项可以从保存时间重新开始仿真。 这样可以不必重新编译并重新仿真到该时间点。 $reset重新设置仿真状态到时刻0的状态。 系统任务$history或Tcl命令history可以列出以前执行的交互命令。 C1 #500 $save(“save.dat”); // 在500 ns后保存仿真 C2 forever #100000 // 每个100000 ns后 $incsave(“ inc. dat”); // 增量式保存仿真 在NC Verilog中使用这些Tcl交互命令: save和restart保存并从一个仿真snapshot重新开始。 reset重新从时刻0snapshot开始。 由于NC Verilog总是保存所有数据,snapshot只是简单的保存初始值。这使保存和重新开始更快更可靠。 ncsim stop -time 100000NS -execute {save try1} ncsim restart try1 保存及重启动仿真 可以将仿真数据结构保存到一个文件并在以后再次启动仿真。 用这个特性可以定点检查一个长仿真并: 在机器崩溃时保护仿真(machine failure) 执行快速“what if”关 系统任务$save保存仿真数据结构。提供一个文件名参数用于存储仿真数据结构。 系统任务$incsave进行增量保存。增量保存使用较少空间。 系统任务$restart或-r命令行选项从一个以前保存的数据结构重新开始仿真。需要提供储存仿真数据结构的文件名作为参数。 注意使用-r 命令行选项时,不用指定设计文件。 仿真器执行一个过程语句中间不能保存。用run -clean到达仿真中的下一个“clean”点,然后执行 save命令。可以以后重新装载snapshot继续仿真; Tcl环境的状态(包括指针)并不和snapshot一起保存。要保存Tcl环境,必须单独执行一个save -environment。要从储存的Tcl环境restart ,必须编译保存的环境文件。 在Verilog-XL中执行playing back TUI命令 系统任务$input或-i命令行选项可以执行一个脚本文件。 仿真器在交互模式下执行命令脚本。 verilog mods. v -i keyfile. txt -q –s //在交互模式下,并在时间0执行keyfile.txt C1 $display( Executed keyfile at %g,$ realtime); Executed keyfile at 0 C2 begin $input(“ keyfile. txt”); #10 $stop; end . //停止时执行keyfile.txt C2: $stop at simulation time 10 C3 $display( Executed keyfile at %g,$ realtime); Executed keyfile at 10 C4 keyfile. txt $display( Executed keyfile at %g,$ realtime); 注意:在交互式模式下,begin和end之间语句顺序执行。通常的,在交互模式提示符处输入的语句在仿真继续进行时为并行执行。 在Verilog-XL中重新执行TUI命令 仿真器将交互命令写到一个key文件(key file),其缺省名为verilog.key。

文档评论(0)

dajuhyy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档