第12讲 IP软核应用基础.ppt

  1. 1、本文档共18页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第12讲 IP软核应用基础

《 E D A 技 术》 课 程 教 学 讲授:戴正科 第 十二 讲 Quartus II IP软核应用基础 教学目的:使学生会用Quartus II软件应用IP软核的方法。 教学重点:通过实例讲解IP软核的应用方法。 教学难点: Mega Core IP软核的应用。 教学方法:讲授法、计算机辅助法。 课时计划:2学时 使用教材: SOPC技术与应用.江国强 编著.北京:机械工业出版社 主要参考文献: [1] 刘洪涛.ARM嵌入式体系结构与接口技术[M].北京:人民邮电出版社 [2] 田耘等.无线通信FPGA设计[M].北京:电子工业出版社 [3] 孟宪元等.FPGA嵌入式系统设计教程[M].北京:电子工业出版社 [4] 徐光辉等.基于FPGA的嵌入式开发与应用[M].北京:电子工业出版社 [5] 沈文斌.嵌入式硬件系统设计与开发实例详解[M].北京:电子工业出版社 [6] 周立功等.SOPC嵌入式系统基础教程[M].北京:北京航空航天大学出版社 [7] 王彦等.基于FPGA的工程设计与应用[M].西安:西安电子工业出版社 [8] 周润景等.基于QuartusII的FPGA/CPLD数字系统设计实例[M].北京:电子工业出版社 [9] 一、图形编辑输入 1. 示例一 用2片4位二进制加/减计数器74191设计8位二进制加/减计数器。 一、图形编辑输入 2. 示例二 用4位移动寄存器74194、8位D锁存器74273、D触发器等器件构成8位串入并出转换电路,要求在转换过程中数据不变,只有当8位一组数据全部转换结束后,输出变化一次。 二、VHDL文本编辑输入 1. 示例一 用VHDL设计8位同步二进制加/减计数器,输入为时钟端CLK和异步清除端CLR,UPDOWN是加/减控制端,当UPDOWN为1时执行加法计数,为0执行减法计数;进位输出端为C。 二、VHDL文本编辑输入 2. 示例二 用VHDL设计7段LED数码显示器的十六进译码器,要求该译码器有三态输出。 三、 Quartus II宏功能模块的应用 1. 示例一:波形发生器的设计 三、 Quartus II宏功能模块的应用 1. 示例一:波形发生器的设计 三、 Quartus II宏功能模块的应用 1. 示例一:波形发生器的设计 三、 Quartus II宏功能模块的应用 1. 示例一:波形发生器的设计 三、 Quartus II宏功能模块的应用 2. 示例二:嵌入式锁相环的设计 三、 Quartus II宏功能模块的应用 2. 示例二:嵌入式锁相环的设计 四、IP核的使用 1. 示例一:NCO IP核的使用 四、IP核的使用 示例:NCO IP核的使用 四、IP核的使用 示例:NCO IP核的使用 课堂小结 课外作业: 1.写出使用Quartus II宏功能模块设计波形发生器的的步骤。 2.上机练习 * 电子设计自动化技术 讲授:戴正科 * 电子设计自动化技术 讲授:戴正科 * 湖南文理学院电气与信息工程学院 课题: Quartus II IP软核应用基础 三、课堂小结 四、作业 一、 Quartus II宏功能模块的应用 二、IP核的使用 Wave_genTop 顶层设计 地址 指针 数据存 储ROM D/A转换 波形输出 1)加入计数器元件 元件选择窗的“Libraries”栏中选择“arithmetic”的“lpm_counter”(计数器)LPM元件。 2)建立存储器初值设定文件(.mif) File-NEW-Memory initialization file(存储器初值设定文件) 3)加入只读存储器ROM元件 元件选择窗的“Libraries”栏中选择“storage”的“lpm_rom”(只读存储器ROM )LPM元件。 1)新建工程文件。注: Altera器件只有在Cyclone和Stratix等系列的FPGA中才含有锁相环; 2)使用“Tools”菜单的“MegaWizard Plug-In Manager…”项。 1)新建工程文件。 2)使用“Tools”菜单的“MegaWizard Plug-In Manager…”项中的“DSP”-“Signal Generation”-“NCO (数控振荡器)。 3)设置参数与连接电路及仿真。 注:进行编译时需添加NCO IP核的用户库。 说明: 1)phi_inc_[31..0]为频率字输入端,f

文档评论(0)

dajuhyy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档