Vivado 设计套件的UltraFast 设计方法指南(UG949) - 赛灵思 - Xilinx.PDF

Vivado 设计套件的UltraFast 设计方法指南(UG949) - 赛灵思 - Xilinx.PDF

  1. 1、本文档被系统程序自动判定探测到侵权嫌疑,本站暂时做下架处理。
  2. 2、如果您确认为侵权,可联系本站左侧在线QQ客服请求删除。我们会保证在24小时内做出处理,应急电话:400-050-0827。
  3. 3、此文档由网友上传,因疑似侵权的原因,本站不提供该文档下载,只提供部分内容试读。如果您是出版社/作者,看到后可认领文档,您也可以联系本站进行批量认领。
查看更多
Vivado 设计套件的UltraFast 设计方法指南(UG949) - 赛灵思 - Xilinx

UltraFAST 设计方法指南 (适用 于 Vivado Design Suite ) UG949 (v2016.3) 2016 年 11 月 11 日 条款中英文版本如有歧义,概以英文文本为准。 修订历史 下表列出了本文档的修订历史。 日期 版本 修订 2016 年 11 月 11 日 2016.3 已更新靠近顶层添加 I/O 组件,已更新使用复位的时间和位置,已更新使用 DIRECT_ENABLE 和 DIRECT_RESET ,已更新将逻辑从控制引脚推到数据引脚,时钟原语中添加了时钟利用率 报告的信息,已更新时钟多路复用,已更新 使用 MMCM 的 I/O 时序 ZHOLD/BUF_IN 补偿 , 单四通道和多四通道接口中添加了示例,已更新控制时钟的相位、频率、占空比和抖动,已 更新,已添加控制和同步器件启动,已添加避免本地时钟,已添加跨时钟域,并在第 3 章: 设计创建中的使用虚拟时钟与降低“建立”要求,同时让“保持”不变中添加了相移相关信息。 更新第 4 章:实现中的自下而上的综合流程。 已更新 表 5-2 ,在确认没有时钟遗漏中添加了检查时序报告的信息,已更新降低网络延迟 , 已更新表 5-4 ,已更新报告设计分析拥塞报告,在报告设计分析复杂性报告中添加了租赁指 数和平均扇出的表格,已更新优化高扇出网,已更新禁用 LUT 组合和 MUXF 调用,已更新 群 关键逻辑,已更新使用 Vivado IP 集成器进行调试设计,已添加在 Vivado 硬件管理器中调试 AXI 接口,并在第 5 章:设计收敛中添加了使用系统内 IBERT 。 2016 年 6 月 8 日 2016.2 重新整理了第 1 章:引言。纳入了总体 UltraFast 设计方法简介。 在整个文档中重新整理了内容,将更适用于 Vivado 用户指南的工具使用内容移至了有关文 档内。此外还去除了与上述指南中存在重复的内容。 去除了第二章“使用 Vivado Design Suite” ,将内容与《Vivado Design Suite 用户指南:设计 流程简介》 (UG892)合并。 将“源文件管理与版本控制建议”章节放入了《Vivado Design Suite 用户指南:设计流程简介》 (UG892)。 将第五章“设计实现”分为第 4 章:实现与第 5 章:设计收敛两章。 在第 4 章:实现加入了“高级综合” 内容。 在第 3 章:设计创建、第 4 章:实现和第 5 章:设计收敛中加入了必威体育精装版设计分析与收敛特性。 去除了第六章“配置与调试”,并将部分内容纳入第 5 章:设计收敛。 UltraFast 设计方法指南 2 Send Feedback UG949 (v2016.3) 2016 年 11 月 11 日 目录 第 1 章 : 引言 关于 UltraFast 设计方法 . . . . . . . . . . . . . . . . . .

您可能关注的文档

文档评论(0)

youbika + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档