码管led显示器控制电路.ppt

  1. 1、本文档共36页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
数码管led显示器控制电路

LED动态显示分位译码电路 设计要求: 采用动态显示方式,将一个两位数用两个数码管显示出来。一个数码管显示个位,另一个显示十位。 * 顶层原理图 如上图所示,为LED分位译码显示顶层原理图。由fdiv分频模块,cnt计数模块,fenwei分位模块和dispdec显示译码模块四个模块组成。 输入为: sysclk:50MHz系统时钟。 num[6..0]:一个要显示的两位数,0~99。 输出为: SEG【6..0】:7位段码输出。 SCAN【7..0】:8位位码输出,每一位分别控制一个数码管的点亮。 50MHz 1KHz 分位模块 * LED动态显示分位译码电路程序 1. fenwei分位模块 Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Use ieee.std_logic_arith.all; entity fenwei is port( num: IN integer range 0 to 99; numshi: OUT integer range 0 to 9; numge: OUT integer range 0 to 9 ); end fenwei; architecture a of fenwei is begin numge = num MOD 10; numshi = num/10; end a; * 2. 显示译码模块dispdec Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Use ieee.std_logic_arith.all; entity dispdec is port( qcnt: IN integer range 0 to 1; --计数值输入 d0, d1: IN integer range 0 to 9; --分位得到的十位数和个位数 seg: OUT std_logic_vector(6 downto 0); --7位段码输出 scan: OUT std_logic_vector(7 downto 0) --8为位码输出 ); end dispdec; architecture a of dispdec is signal data: integer range 0 to 9; begin process(qcnt, d0, d1) begin case qcnt is when 0 = scan = data = d0; when 1 = scan = data = d1; when others = scan = data = d0; end case; end process; * process(data) begin case data is when 0 = seg = 0111111; --0 when 1 = seg = 0000110; --1 when 2 = seg = 1011011; --2 when 3 = seg = 1001111; --3 when 4 = seg = 1100110; --4 when 5 = seg = 1101101; --5 when 6 = seg = 1111101; --6 when 7 = seg = 0000111; --7 when 8 = seg = 1111111; --8 when 9 = seg = 1100111; --9 when others = seg = 0000000; end case; end process; end a; * 仿真结果 以动态显示的方式,在数码管上分位显示两位数。 1 2 5 6 * * * 模块分解 * Data存放当前要显示的数据 专题二.

文档评论(0)

ranfand + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档