大规模集成电路设计与实现-Read.DOC

  1. 1、本文档共75页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
大规模集成电路设计与实现-Read

IC 设计流程认知 实验指导书 电子科学与技术系 二OO六年五月 前 言 集成电路自诞生以来,经历了小规模、中规模、大规模的发展过程,目前已进入超大规模(VLSI)和甚大规模集成电路(ULSI)阶段,并正向着更高集成度、超小型化、高性能、高可靠性的方向发展。 VLSI的进一步发展离不开计算机辅助设计。设计VLSI已成为专业工程师和计算机技术人员应具备的日趋重要的技能。VLSI的应用将会越来越广泛,对其设计的要求将会越过电子工程的界限,进入更广泛的技术领域。 作为微电子技术专业的毕业生,掌握EDA的设计思路与设计流程是十分必要的,因此,我们专门开设了IC设计流程认知课程设计。其内容是要求同学利用EDA工具软件完成大规模集成电路(如4为MCU或其他电路)设计的整个流程。即由集成电路的前端设计(Verilog 程序设计、功能仿真以及逻辑综合)到版图设计及验证的全过程。 本次课设使用EDA工具主要有Verilog-xl、VCS、DC以及SE。 目 录 第一章 大规模集成电路设计与实现 1 1.1 VLSI设计流程 1 1.2 VLSI设计工具 1 1.3 VLSI的设计方法 2 1.3.1正向设计与逆向设计 2 1.3.2非定制与定制的设计方法 2 第二章 四位MCU的verilog语言实现 3 2.1四位MCU各模块功能简述 3 2.2 verilog描述 3 2.2.1硬件描述语言的输入 4 第三章 验证与VCS使用 10 3.1VCS的简单使用方法 10 3.1.1什么是VCS 10 3.1.2 VCS的工作方式 11 3.1.3 如何进行仿真和验证 11 3.1.4 VCS的运行方式 11 3.2 Alu综合前的编译、仿真步骤与实现 11 3.2.1 无层次化设计仿真 11 3.2.2 层次化设计仿真 17 第四章 综 合 23 4.1 综合在集成电路整个设计流程中的地位 23 4.2 电路综合的特点与要求 24 4.2.1电路综合的特点: 24 4.2.2 电路综合的要求: 25 4.3 综合的具体步骤 25 4.3.1无层次化设计综合 25 4.3.2层次化设计综合 34 第五章 综合后的编译、仿真 36 5.1层次化设计 36 5.1.1 Verilog_XL 36 5.1.2VCS 38 5.2 层次化设计 40 5.2.1Verilog_XL 40 5.2.2 VCS 41 第六章 布局布线(SE) 43 6.1启动SE 43 6.2读入工艺库文件 .lef和.ctlf 44 6.3 读入设计文件 .v, .def和.sdf 45 6.4版图布局初始化 47 6.5放置I/O管脚 48 6.6放置电源环和电源条 49 6.7放置标准单元 51 6.8 Ctgen时钟树的生成 52 6.9填充filler cell 52 6.10 Route-Global and Final Route 53 6.11 验证(连接和尺寸) 53 6.12版图文件、.v文件和时序输出(GDS IIsdf) 54 第七章 布局布线后的编译仿真 55 7.1 TestBench 55 7.2文件准备 55 7.3 Start Up 56 第八章设计规则检查版图原理图一致性比较 57 8.1 What Is DRC? 57 8.2文件准备 57 8.3 GDSII文件转换成GDS文件 58 8.3.1 Start Up 启动 58 8.3.2 Library View_0 库浏览 58 8.3.3 Make TF Library 生成TF库 59 8.3.4 Library View_1库视图1 61 8.3.5 Make Design Library 生成设计库 61 8.3.6 Library View_2库视图2 63 8.3.7 Stream In GDSII 63 8.3.8 Library View_3 库视图3 65 8.3.9 Layout View 版图视图 65 8.3.10 Stream Out GDS 66 8.4 DRC 67 8.4.1 Process Summary 67 8.4.2 DRC Process View 68 8.5 LVS 69 参考书目 71 第一章 大规模集成电路设计与实现 1.1 VLSI设计流程 自顶向下的设计流程: 图1-1 VSLI的设计包括系统设计,逻辑设计,电路设计和版图设计。为了保证设计的正确性,对每一个阶段的设计结果都要进行计算机模拟和验证。对于复杂的集成电路设计,常用自顶向下(Top-Down)和自底向上(Bottom-up)的层次化设计方法。 设计中反复出现的基本单元(如反相器,与非门,异

文档评论(0)

xiaozu + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档