第7章存储器编程器件2011版.ppt

  1. 1、本文档共82页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第7章存储器编程器件2011版

* * * * * * * * * * * * * 7.2.4 Altera公司提供的Quartus II开发系统 4.Quartus II的使用操作 (6)仿真 设置仿真时间 设置仿真输入激励 7.2.4 Altera公司提供的Quartus II开发系统 4.Quartus II的使用操作 (6)仿真 d. 功能仿真 功能仿真的第一步就是建立功能仿真网表之后,可以开始功能仿真了,仿真完成之后会产生仿真成功的消息窗口。单击“Report”按钮,查看仿真结果如下图。 7.2.4 Altera公司提供的Quartus II开发系统 4.Quartus II的使用操作 (6)仿真 e. 时序仿真 时序仿真不需要产生功能网表,仿真完成后产生仿真成功消息窗口,单击“Report”按钮可查看仿真结果,类似如下图所示的波形,但许多表现形式不同于功能仿真。然后用户可以根据仿真波形来验证是否满足时序设计要求。 7.2.4 Altera公司提供的Quartus II开发系统 4.Quartus II的使用操作 (7)编程与配置 使用QuartusⅡ成功编译工程且功能、时序均满足设计要求后,就可以对Altera器件进行编程和配置了。可以使用QuartusⅡ的Assembler模块生成编程文件,使用QuartusⅡ的Programmer工具与编程硬件一起对器件进行编程和配置。QuartusⅡ对器件的编程和配置流程如下图。 7.2.4 Altera公司提供的Quartus II开发系统 5.PLD器件的写入 ① 专用的并口写入线,Altera公司的并口写入电缆为 ByteBlaster。 ② 以太网串口写入线,Altera公司的以太网串口写入电缆为 EthernetBlaster, ③ USB串口写入线,Altera公司的USB串口写入电缆为 USB Blaster, 器件端为一个十芯插头,以JTAG格式下载信号。下图为USB串口写入线的连接形式 USBBlaster下载电缆 7.2.4 Altera公司提供的Quartus II开发系统 5.PLD器件的写入 7.2. 5 Xilinx公司提供的ISE开发系统 1.ISE的简介 第7章 存储器与可编程逻辑器件 ISE是集成综合环境的简称,它集成了多种优秀的设计工具,简洁流畅的界面风格可以使用户方便地完成自己的设计。 有丰富的在线帮助信息,结合Xilinx的技术支持网站,帮助用户更好的在设计过程中解决可能遇到的问题。而且,还有着强大的设计辅助功能,在编写代码时可以使用编写向导生成文件头和模块框架,也可使用语言模板(Language Templates)帮助编写代码。 在图形输入时可以使用ECS的辅助项帮助设计原理图。 ISE的Core Generator和LogiBLOX工具可方便地生成IP Core与功效模块为用户所用,减少设计工作量,提高设计效率与质量。 优化的工具套件,提供了业界唯一一款时钟门控优化的技术。 2.ISE的设计流程 7.2. 5 Xilinx公司提供的ISE开发系统 7.2. 5 Xilinx公司提供的ISE开发系统 3.ISE的图形用户界面 下面以使用VHDL设计一个加/减法器为例介绍。 7.2. 5 Xilinx公司提供的ISE开发系统 4.ISE的使用操作 (1)设计输入 (2)创建一个工程 a.首先创建新工程向导页,输入要创建的工程名字、存放路径。在顶层源文件类型中选择 “HDL” 类型、“Schematic”类型 、“EDIF”类型或 “NGC/NGO”类型。 b.在器件属性向导页选择器件家族、型号、封装、速度等级,以及综合工具、仿真器和语言类型。用户可以选择使用的第三方综合工具和仿真工具,以及HDL类型。 c.一个源文件可以是任何包含设计信息的文件,Project Navigator提供了一个向导来帮助用户为工程创建新的源文件。如果已经有已存在的源文件,点击“Add Source”按钮,将其添加到工程。 ISE的源文件代码编辑界面 7.2. 5 Xilinx公司提供的ISE开发系统 4.ISE的使用操作 (2)创建一个工程 7.2. 5 Xilinx公司提供的ISE开发系统 4.ISE的使用操作 (3)行为级仿真(也称为RTL仿真) 可以通过以下任何一种方式来执行。 ①HDL测试平台(test benches) ②测试平台波形文件 ③用于综合和行为仿真的HDL源文件 ④只用于仿真的HDL源文件,如IP仿真模型、外部仿真模型等等。 在仿真之前首先建立测试激励。在测试平台波形文件关联窗口中,选

文档评论(0)

dajuhyy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档