- 1、本文档共17页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
多进制数字振幅调制(MASK)系统
8.12多进制数字振幅调制(MASK)系统 多进制数字振幅调制(MASK) 多进制数字振幅调制又称多电平振幅调制,它用高频载波的多种振幅去代表数字信息。 已调波一般可表示为 g(t)是高度为1、宽度为TS的矩形脉冲,且有 为易于理解,将波形上图 所示。显然图(c)中各波形的叠加便构成了图(b)的波形。 由上图可见,M进制ASK信号是M个二进制ASK信号的叠加。 那么,MASK信号的功率谱便是 M个二进制ASK信号功率谱之和。 因此,叠加后的MASK信号的功率谱将与每一个二进制ASK信号的功率谱具有相同的带宽。 所以其带宽 MASK信号的产生 MASK信号与二进制ASK信号产生的方法相同,可利用乘法器实现。 解调也与二进制ASK信号相同,可采用相干解调和非相干解调两种方式。 M进制振幅调制方框图 另外该电路的取样判决器有多个判决电平,因此多电平调制的取样判决电路比较复杂。实际系统中,取样判决电路可与M-2电平变换合成一个部件,它的原理类似于A/ D变换器。多电平解调与二进制解调相似,可采用包络解调或同步解调。 多进制数字振幅调制与二进制振幅调制相比有如下特点:(1)在码元速率相同的条件下,信息速率是二进制的log2M倍。 (2)当码元速率相同时,多进制振幅调制带宽与二进制相同。 (3)多进制振幅调制的误码率通常远大于二进制误码率。当功率受限时,M越大,误码增加越严重。 (4)多进制振幅调制不能充分利用发信机功率。 MASK调制电路VHDL程序与仿真 MASK调制方框图 MASK调制电路符号 MASK调制VHDL程序与仿真 --文件名:MASK --功能:基于VHDL硬件描述语言,对基带信号进行MASK调制 --说明:这里MASK中的M为4 --最后修改日期:2004.2.13 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity MASK is port(clk :in std_logic; --系统时钟 start :in std_logic; --开始调制信号 x :in std_logic; --基带信号 y :out std_logic_vector(7 downto 0)); --8位DAC数据 end MASK; architecture behav of MASK is signal q:integer range 0 to 7; --计数器 signal qq:integer range 0 to 3; --计数器 signal xx:std_logic_vector(3 downto 0); --并行数据寄存器 signal yy:std_logic_vector(7 downto 0); --8位DAC数据寄存器 begin process(clk) --此进程完成基带信号的串并转换, --完成4位并行数据到8位DAC数据的译码 begin if clkevent and clk=1 then if start=0 then q=0; elsif q=0 then q=1;xx(3)=x; if xx(3)=1 then yy=xx1111; --if语句完成4位并行数据到8位DAC数据转换 elsif xx(2)=1 then yy=xx1011; elsif xx(1)=1 then yy=xx0111; elsif xx(0)=1 then yy=xx0011; else yy=xx0000; end if; elsif q=2 then q=3;xx(2)=x; elsif q=4 then q=5;xx(1)=x; elsif q=6 then q=7;xx(0)=x; else q=q+1; end if; end if; end process; process(clk) --对
您可能关注的文档
- 外研版三年级上册Point to the desk.ppt
- 外研版三年级英语Module7Unit1课件.ppt
- 外研版七年级下Module+3+Unit+1+What+are+you+going+to+do+at+the+weekends_.ppt
- 外研版八下Module+10+课件+Unit+3(全).ppt
- 外墙外保温现场检测.doc
- 外研版英语六上MODULE 6 Unit 1 Apostcard from New York》ppt课件.ppt
- 外研版英语九下Module 7《Unit 1 What’s the school leavers’party》ppt课件.ppt
- 外研版英语必修2 module4 cultural corner.ppt
- 外研版初一上Module 2 These are my parents.UNIT 2.ppt
- 夏日里四种家居软装风格布局.ppt
- 2025届衡阳市第八中学高三一诊考试物理试卷含解析.doc
- 2025届湖南省娄底市双峰一中等五校重点中学高三第二次诊断性检测物理试卷含解析.doc
- 天水市第一中学2025届高三第二次联考物理试卷含解析.doc
- 2025届金华市重点中学高三考前热身物理试卷含解析.doc
- 2025届北京市石景山区第九中学高三第四次模拟考试物理试卷含解析.doc
- 江苏扬州市2025届高三第一次模拟考试物理试卷含解析.doc
- 2025届江苏省南通市高级中学高考物理五模试卷含解析.doc
- 广东省清远市华侨中学2025届高三第一次调研测试物理试卷含解析.doc
- 辽宁省凤城市2025届高三第五次模拟考试物理试卷含解析.doc
- 内蒙古巴彦淖尔市重点中学2025届高考仿真卷物理试卷含解析.doc
文档评论(0)