- 1、本文档共26页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA(第9章)-12.4
* * CPLD/FPGA的开发与应用 第9章 宏功能模块及其应用 PLL锁相环 ------ 倍频锁相 乘法累加器 ------ 算术运算 ROM/RAM电路------ 数据存储 用户宏单元 ------自定义模块 正弦信号产生------ 应用举例 宏功能模块库 算术组件 累加器、加法器、乘法器和LPM算术函数 门电路 多路复用器和LPM门函数 I/O组件 时钟数据恢复(CDR)、锁相环(PLL)、双数据速率(DDR)、千兆位收发器块(GXB)、LVDS接收器和发送器、PLL重新配置和远程更新宏功能模块 存储器编译器 FIFO Partitioner、RAM和ROM宏功能模块 存储组件 存储器、移位寄存器宏模块和LPM存储器函数 输出文件.bsf : Block Editor中使用的宏功能模块的符号(元件)。 ? 输出文件.cmp : 组件申明文件。 ? 输出文件.inc : 宏功能模块包装文件中模块的AHDL包含文件。 ? 输出文件.tdf : 要在AHDL设计中实例化的宏功能模块包装文件。 ? 输出文件.vhd : 要在VHDL设计中实例化的宏功能模块包装文件。 ? 输出文件.v : 要在VerilogHDL设计中实例化的宏功能模块包装文件。 ? 输出文件_bb.v :VerilogHDL设计所用宏功能模块包装文件中模块的空体或 black-box申明,用于在使用EDA 综合工具时指定端口方向。 ? 输出文件_inst.tdf : 宏功能模块包装文件中子设计的AHDL例化示例。 ? 输出文件_inst.vhd : 宏功能模块包装文件中实体的VHDL例化示例。 ? 输出文件_inst.v : 宏功能模块包装文件中模块的VerilogHDL例化示例。 宏功能模块生成文件 宏功能模块调用—PLL锁相环 锁相-倍频仿真结果 宏功能模块调用—乘法累加器 3级流水乘法-累加器仿真结果 宏功能模块调用—双口RAM 双口RAM仿真结果 宏功能模块应用举例---正弦波形产生电路设计 正弦信号输出频率f = f0 /N 正弦波形产生电路设计要点: 自顶向下 子电路生成 宏模块调用 混合输入 正弦波形产生电路设计步骤: 建立顶层设计项目 编程创建子电路 定制调用宏模块 原理框图混合输入 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; ENTITY addr_cnt64 IS PORT(clr,en,clk:in std_logic; addr_cnt64:out std_logic_vector(5 downto 0)); END addr_cnt64; ARCHITECTURE BHAV OF addr_cnt64 IS BEGIN process(clr,en,clk) variable q64_v:std_logic_vector(5 downto 0); begin if clr=0 then q64_v:=000000; elsif clkevent and clk=1 then if en=1 then q64_v:=q64_v+1; else q64_v:=000000; end if; end if; addr_cnt64= q64_v; end process; end behave; 定制LPM_ROM 建立.mif格式文件 定制LPM_ROM元件 LPM宏功能块设定 7.2.2 定制初始化数据文件 7.2.3 定制LPM_ROM元件 选择data_rom模块数据线和地址线宽度 选择使能、清零信号端子 调入ROM初始化数据文件并选择在系统读写功能 完成顶层设计 仿真波形输出 嵌入式逻辑分析仪获得的波形 *
您可能关注的文档
- DCYX典晶创艺-北国奥林匹克花园2012年推广.ppt
- DIP实验报告-滤波.doc
- dig第3讲.ppt
- DJ6型光学经纬仪及使用.ppt
- dk7740线切割岗位作业指导书.1.doc
- DLN燃烧模式转换程序解析.docx
- dg6半导体二极管与直流稳压电源.ppt
- DM816x_芯片级资源.docx
- DI、DO电气原理(压机篇).ppt
- dfsservice第3章++接口技术.ppt
- 2025年市总工会党组书记、市委组织部部长生活会“四个带头”个人对照检查发言材料2篇(含上年度整改+个人情况、个人事项+典型案例).docx
- 2025年部编版小学六年级下册《道德与法治》第四单元 让世界更美好第10课 我们爱和平教学课件.pptx
- 公司领导班子2025年围绕“四个带头”主题检视问题整改落实方案与组织生活会批评意见(20条)2篇文.docx
- 教育系统党组班子2025年对照“四个带头”含意识形态、以典型案例举一反三解析检视材料【2篇文】.docx
- 2025年国有企业领导班子、学校副校长生活会“四个带头”方面对照个人检视发言材料2篇文(附:上年度整改情况、典型案例解析).docx
- 2025年生活会“四个带头”个人对照检查材料2篇文(含对其他领导批评意见,个人公开事项申报、意识形态).docx
- 2025年国有企业党委书记、领导班子生活会“四个带头”方面对照检查发言材料2篇文(上年度整改情况).docx
- 乡镇领导班子、市委组织部常务副部长2025年对照“四个带头”含违纪行为为典型案例的剖析与反思检视剖析材料{2篇文}.docx
- 市委社会工作部2025年生活会领导班子对照检视发言材料2篇文(含以案为鉴,深刻反思存在问题、反面典型案例举一反三解析、其他需要说明情况).docx
- 2025年民主生活会、组织生活会批评意见(20条)与市直单位领导班子“四个带头”对照检查材料【含上年度查摆问题整改落实情况】2篇文.docx
最近下载
- 专题06阅读修辞手法(比喻、拟人)赏析 部编版四年级语文下册阅读理解专项.ppt
- 2023年全国中学生数学奥林匹克竞赛(预赛)(浙江省六校第四次数学竞赛联考)一试试题(模拟4).pdf VIP
- P9工作法:夯实技术硬实力、架构力和领导力_随笔.docx VIP
- 金属非金属矿山从业人员安全生产培训教材.pptx VIP
- 燃机IGV进口导叶工作原理介绍.doc
- 2024年湖南高速铁路职业技术学院高职单招职业技能测验历年参考题库(频考版)含答案解析.docx
- 2023-2024学年北京市高二下册第一次月考数学试题(含解析).pdf
- 200项施工现场常见安全隐患.ppt
- 动脉粥样硬化和冠状动脉粥样硬化性心脏病 ppt课件.pptx VIP
- 2024年南京机电职业技术学院单招职业适应性测试试题及答案解析.docx
文档评论(0)