- 1、本文档共13页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL流水线加法器
可编程实验报告
实验报告要求:
1、任务的简单描述
2、画出电路图
3、写出源代码
4、仿真结果
5、分析和讨论
1、3-8译码器
源代码:
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_signed.all;
ENTITY dc38 IS
PORT(
sel : in std_logic_vector(2 downto 0);
y : out std_logic_vector(7 downto 0));
END dc38;
ARCHITECTURE behavior OF dc38 IS
BEGIN
y =WHEN sel = 000 else
WHEN sel = 001 else
WHEN sel = 010 else
WHEN sel = 011 else
WHEN sel = 100 else
WHEN sel = 101 else
WHEN sel = 110 else
WHEN sel = 111 else
ZZZZZZZZ;
END behavior;
仿真结果:
一位全加器
A B CI S CO 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1
四级流水加法器
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity adder is
port(
clk,rst : in std_logic;
a,b : in std_logic_vector(3 downto 0);
sum : out std_logic_vector(3 downto 0);
c : out std_logic);
end entity adder;
architecture depict of adder is
signal reg1: std_logic_vector(7 downto 0);
signal reg2: std_logic_vector(6 downto 0);
signal reg3: std_logic_vector(5 downto 0);
begin
bit0:process(clk,rst)
begin
if(rst=1) then
reg1
elsif(rising_edge(clk)) then
reg1(0)= a(0) xor b(0);
reg1(1)= a(0) and b(0);
reg1(2)= a(1);
reg1(3)= b(1);
reg1(4)= a(2);
reg1(5)= b(2);
reg1(6)= a(3);
reg1(7)= b(3);
end if;
end process bit0;
bit1:process(clk,rst)
begin
if(rst=1) then
reg2=0000000;
elsif(rising_edge(clk)) then
reg2(0)= reg1(0);
reg2(1)= reg1(1) xor reg1(2) xor reg1(3);
reg2(2)= (reg1(1) and reg1(2))or(reg1(1)and reg1(3))or(reg1(2)and reg1(3));
reg2(6 downto 3)=reg
您可能关注的文档
- unit8Have you read Treasure Island yetA3a-3C 说课课件.ppt
- Unit8-B上课用课件.ppt
- Unit8Howdo-you-make-a-banana-milk-shake 第一课时.ppt
- Unit9-Section A 七上新目标.ppt
- unit9-have-you-ever-been-to-a-museumPPT听课.ppt
- Unit9_Have__you__ever__been__to_a museum_SectionB课件.ppt
- Unit9_Have__you__ever__been__to_a_museum_SectionB课件.ppt
- Units7-12知识点.doc
- Unity3D4.1版本粒子系统.docx
- Unit_12_You’re_supposed_to_shake_hands._SelfCheck.ppt
最近下载
- 2.2.3植物体的结构层次 说课课件2023--2024学年人教版生物七年级上册.pptx VIP
- 北京吉天AFS8系9系原子荧光光度计作业指导书.doc VIP
- 公墓消防培训资料课件.pptx VIP
- 一年级语文下册第一单元集体备课教案.docx
- 教师读《做一个专业的班主任》心得体会PPT.pptx
- 燃气管网水力计算演示文稿.ppt VIP
- 初一上册英语单项选择(50题)专项练习附答案.pdf VIP
- 关联交易培训课件知识点.pptx
- 2024-2025学年北京海淀区八年级初二(上)期末道德与法治试卷(含答案).pdf
- 2024《HXD3型电力机车受电弓常见故障分析及处理研究》10000字.docx
文档评论(0)