现代计算机组成原理08 16位流水线CPU设计.pdf

现代计算机组成原理08 16位流水线CPU设计.pdf

  1. 1、本文档共119页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
现代计算机组成原理08 16位流水线CPU设计

现代计算机组成原理 潘 明 潘松 编著 科学出版社 科学出版社 第 8 章 16位流水线CPU设计 8.1 流水线CPU的结构 图8-1 流水线CPU的结构 8.2 指令系统设计 指令系统的完备性 指令系统的高效性 指令系统的规整性 指令系统的兼容性 图8-1 流水线CPU的结构 8.2 指令系统设计 图8-1 流水线CPU的结构 8.2 指令系统设计 8.2 指令系统设计 8.2.1寄存器型 (R-型) 图8-2 R-型指令 ADD R1,R2,R3 8.2 指令系统设计 8.2.2 寄存器立即数型(RI-型) 图8-3 RI-型指令 ADDI R1,R2,0FH 8.2 指令系统设计 8.2.3 立即数型 (I-型) 图8-4 I-型指令 MVIL R1,FFH 8.2 指令系统设计 8.2.4 立即移位型 (SI-型) 图8-5 SI-型指令 RORI R1,R2,2H 8.3 数据通路设计 8.3.1 R-型数据通路 图8-6 R-型 ALU 指令的数据通路 8.3 数据通路设计 8.3.2 RI-型数据通路 图8-7 RI-型 ALU 指令的数据通路 8.3 数据通路设计 8.3.3装入字数据通路 图8-8 装入字数据通路 8.3 数据通路设计 8.3.4存储字数据通路 图8-9 存储指令的数据通路 8.3 数据通路设计 8.3.5寄存器转移数据通路 图8-10 转移指令数据通路 8.4流水线各段设计和功能描述 8.4.1 Stage 1取指令段 图8-11 IF Stage 1 的结构 8.4流水线各段设计和功能描述 8.4.1 Stage 1取指令段 1.功能描述 (1)取指令及锁存。 (2 )地址计算。 (3 )检验指令的合法性。 (4 )同步控制。 2.模块划分和实现 【例8-1 】 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; 8.4流水线各段设计和功能描述 use ieee.std_logic_signed.all; use ieee.std_logic_unsigned.all; ENTITY pcselector IS PORT(nextpc, branchpc, retpc, retipc : IN STD_LOGIC_VECTOR(15 DOWNTO 0); sel : IN STD_LOGIC_VECTOR(3 DOWNTO 0); 8.4.1 Stage 1取指令段 ne

文档评论(0)

dajuhyy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档