modelsim仿真fftip核.pdf

  1. 1、本文档共16页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
modelsim仿真fftip核

Quartus 创建fftip 核,并用modelsim 仿真成功具体步骤 cyh8mzm 第一步:添加modelsim 库文件 (eg :指的是我电脑对应目录) 1. 将modelsim 安装目录下的ini 配置文件的只读属性去掉: 步骤: 右击属性 只读勾选框去掉,点击确定 2. 在D:\modelsim 目录下新建一个altera 文件夹(eg :D:\ Modelsim\altera),用来存放我们需 要用到的库文件 在altera 文件夹下添加几个库文件,并新建几个文件夹,如图所示,来源路径为你所使 用的quartus 安装目录下的库文件,(eg :D:\quartus_11.1\quartus\eda\sim_lib):库文件 包括: 3. 打开modelsim 软件 新建库文件选项: 输入新建的库文件夹的名称: 然后点击OK,以同样的方法新建sgate,altera_primitives,altera_mf,stratix_iv(我的器件库, 如果你们的不一样,选择你们的器件型号即可) 这几个库文件夹,如图所示 4. 然后之后给每个编译库文件: 点击compile 在出现的对话框中: Library 选择220model,然后查找范围选择modelsim 下我们新建的altera 文件夹,然后 选中220model.v,然后点击compile,编译完成后选择done 即可,按此类推,将其他几个 库文件分别选中,并选中对应的.v 文件,然后编译,器件库一定要选择,sgate 库也很重 要,编译完成后退出 modelsim,并将开始的modelsim.ini 配置文件的属性还原,即选中 只读,这样就完成了,如下图所示 第二步:开始验证可行性 5. 新建一个quartus 工程,并生成fftip 核,目录我选择的是(eg :E:\test\test_fft),然后我生 成的fftip 核的名字是:fft_1024 ,等quartus 生成ip 核后,在工程目录下新建一个文件 夹(不建也行),名字为simulation (以后所有仿真都在这个文件夹下做,这个习惯比较 好),将fft_1024.v ,fft_1024_tb ,fft_1024.vo ,以及参数文件,和数据输入文件,这几个 文件拷贝过去 6. 打开modelsim,之后仿真有两个办法,一个是直接改变路径,添加文件即可,另外一个 是在E:\test\test_fft\simulation 路径下新建一个modelsim 工程,都可以。 这里我们选择新建一个工程: 然后点击ok,会出现 选中 Add Existing File 点击Browse…并选中文件,如下图所示 点击打开,会出现 点击OK 选择close 选中那三个文件,然后点击compile Compile 完成后,点击simulate 会弹出 点击Libraries 点击Add… 点击Browse… 选择库路径,(我们最初建立的那五个库) 然后选中220model,点击确定 [altera_lib 是我自己建的文件夹,最初放那几个.v 文件的,你们不会出现,也用不着] 点击OK 按此方法将其他几个库文件也添加进来 点击Design 去掉下面的复选框对号 选择OK 没有波形窗口,要先打开这个窗口,View 中选择Wave 然后全选Objects 中的信号拖到Wave 窗口,这个按个人需要,将想要看的信号拖拉过去 即可 开始仿真,时间自由控制 仿真成功 7. 重点强调几点: 第一个是库文件的添加,之前我有做过modelsim 仿真fftip 一直未成功,一个原因是我 添加的库文件方式不对,所说到的这几个库文件我当时都有添加 第二个是,添加编译文件,别忘记fft_1024.vo 文件,这个也很关键 第三个是,在仿真的时候要把那些库文件重新添加一下。(有那种修改ini 文件内容的, 但是我用了也不太好用,这个方法也许不是最好的,但是至少可以让我们用 modelsim 成功仿真fftip 核) 8 :新建工程与更改路径的区别, 新建工程的话,可以把你要编译的文件添加到 modelsim 界面中,编译的时候全选中, 然后点击编译即可,如果

文档评论(0)

dajuhyy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档