本科现代DSP技术_第3章.pdf

  1. 1、本文档共198页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
本科现代DSP技术_第3章

第3章 DSP Builder设计入门 第3章 DSP Builder设计入门 3.1 DSP Builder及其设计流程 3.2 DSP Builder设计向导 3.3 调幅电路模型设计示例 3.4 使用SignalTap II嵌入式逻辑分析仪 第3章 DSP Builder设计入门 3.1 DSP Builder及其设计流程 DSP Builder是一个系统级(或算法级)设计工具,它 架构在多个软件工具之上,并把系统级和RTL级两个设 计领域的设计工具连接起来,最大程度地发挥了两种工 具的优势。DSP Builder依赖于MathWorks公司的数学分 析工具Matlab/Simulink ,以Simulink的Blockset 出现,可 以在Simulink中进行图形化设计和仿真, 第3章 DSP Builder设计入门 同时又通过SignalCompiler可以把Matlab/Simulink 的设 计文件(.mdl)转成相应的硬件描述语言VHDL设计文件 (.vhd) ,以及用于控制综合与编译的TCL脚本。而对后 者的处理可以由FPGA/CPLD开发工具Quartus II来完成。 由于在FPGA上设计一个DSP模块的复杂性,设计 的性能(包括面积、速度、可靠性、设计周期)对于不同 的应用目标将有不同的要求,涉及的软件工具也不仅 仅是Simulink和Quartus II,DSP Builder针对不同情况 提供了两套设计流程,即自动流程和手动流程。图3-1 是利用DSP Builder进行DSP设计的流程框图。 第3章 DSP Builder设计入门 如图3-1所示,DSP Builder设计流程的第一步是在 Matlab/Simulink 中 进 行 设 计 输 入 , 即 在Matlab 的 Simulink环境中建立一个mdl模型文件,用图形方式调 用Altera DSP Builder 和其它Simulink库中的图形模块 (Block) ,构成系统级或算法级设计框图(或称Simulink 设计模型) 。 第二步是利用Simulink强大的图形化仿真、分析功 能,分析此设计模型的正确性,完成模型仿真。 在这两步中,与一般的Matlab Simulink建模过程几 乎没有什么区别,所不同的是设计模型库采用Altera DSP Builder 的Simulink 库,也不涉及到其它EDA 软 件,没有自动流程和手动流程的区别。 第3章 DSP Builder设计入门 第三步是DSP Builder设计实现的关键一步,通过 SignalCompiler把Simulink 的模型文件(后缀为.mdl)转化 成通用的硬件描述语言VHDL 文件(后缀为.vhd) 。由于 EDA工具软件(诸如Quartus II、ModelSim)不能直接处理 Matlab 的.mdl文件,这就需要一个转换过程。转换获得 的HDL文件是基于RTL级(寄存器传输级) 的VHDL描述。 第3章 DSP Builder设计入门 Matlab Simulink Simulink 模型仿真 建立模型 mdl转成 vhdl 自动流程 手动流程 综合

文档评论(0)

yaocen + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档