- 1、本文档共29页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
北邮·数电综合实验·双色点阵显示控制器的设计与实现
数字电路与逻辑设计实验报告
题目:双色点阵显示控制器的设计与实现
班级:
学号:
姓名:
1 实验要求
1.1基本要求:
1) 固定红色显示一个汉字或图形,显示亮度4级可调,用一个btn按钮实现亮度调节,亮度变化视觉效果要尽量明显。
2) 用从红到绿8级渐变色显示一个固定汉字或图形。
3) 分别用单字循环显示、左右滚动显示、上下滚动显示三种显示方式单色显示四个汉字或图形,显示过程中,显示方式用一个btn按键进行切换。
4) 显示的图形或汉字要尽量饱满美观。
1.2提高要求:
1) 滚动显示过程中实现四种显示颜色的自动变换,颜色变化视觉效果要尽量明显。
2) 自拟其它功能。
2系统设计
2.1设计思路
本实验采用自顶向下设计法:从整个系统功能出发,按一定原则将系统划分为若干子系统,再将每个子系统分为若干功能模块,然后实现所要求的的功能。总体是通过分频、按键控制、扫描控制等模块实现上述功能,通过占空比来改变色彩,实现八级渐变色,通过扫描频率的改变和信号的占空比实现亮度的调节,通过行扫描和列扫描的不同实现左右滚动显示和上下滚动显示。由于按键动作的时刻和按下的时间长短是随机的,并且存在由开关簧片反弹导致的电平抖动,所以还要为每个按键开关设置一个消抖和同步电路,这样才能保证按键的准确性。
2.2总体设计框图
总体结构框图
ASM图
no
yes
yes
no yes
no
yes
no
如图所示:开始由按键选择模式,是单个渐变色显示,还是循环显示,还是可改变亮度显示,在执行的同时检查是否按下reset键,如果reset键被按下,那么将对系统进行复位。
2.3模块划分
逻辑划分框图
CP
如图所示:系统主要分成3个模块:分频模块针对不同的模式进行分频,扫描控制模块通过行扫描或者列扫描来控制循环显示的方式,点阵显示模块主要是响应不同模式下的点阵显示方式及图形。3 仿真波形及其分析
如图所示 当摁下clear键时各信号复位,当模式按键按下并计数时,信号输出对应各个模式,桐乡当亮度模式按下时,信号的占空比改变。
4源程序
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity dianzhen is
port(clk_in ,clear,btn1,btn2,btn3: in std_logic; --定义时钟、复位、按键1、2、3
colred ,colgreen ,rowout : out std_logic_vector(7 downto 0); --列信号行信号输出
bout0,bout1,bout2,bout3: out std-logic --防抖动信号
);
end dianzhen;
architecture behave of dianzhen is
signal stared ,stagre : std_logic_vector(3 downto 0);
signal cred ,row ,cgreen,redtm,gretm : std_logic_vector(7 downto 0);
signal smode,sligc,scc : std_logic_vector(1 downto 0);
signal zred ,zgreen : std_logic_vector(2 downto 0);
signal stm : std_logic_vector(5 downto 0);
signal cnt :integer range 0 to 799999;
signal xtx :integer range 0 to 99999;
signal gun :integer range 0 to 1023999999;
signal cnt0,cnt1,cnt2,cnt3: integer range 0 TO 7;
signal data0,data1,data2,data3: std_logic;
begin
pr
您可能关注的文档
- 化工管道设计.ppt
- 化工过程分析与合成习题PPT.ppt
- 化疗(xg).ppt
- 化工设备机械基础 第二章 材料的力学性能.ppt
- 化设-9女老师版.ppt
- 化工设备机械基础流体流动力学.ppt
- 化工热力学_高光华_第4章.ppt
- 北京【运河岸上的院子】户型分析.ppt
- 北京东城区2012-2013学年第一学期九年级期末考试物理试卷.doc
- 化工设备知识汇编.doc
- 2024年度党员干部民主生活会班子对照检查材料.docx
- 公司党委领导班子2024年度民主生活会对照检查材料4个带头方面.docx
- 市府办(政府办)领导班子2024年民主生活会会后综合情况报告.docx
- 在2025年市司法局信息宣传工作推进会上的讲话.docx
- 在2025年全省文化旅游高质量发展推进会上的讲话.docx
- 在2025年全区工业、住建大规模设备更新推进会上的讲话.docx
- 党支部2024年组织生活会民主评议党员情况总结报告_1.docx
- 2024年度组织生活会个人对照检查剖析材料.docx
- 镇党委书记2024年度民主生活会对照检查材料1.docx
- 党支部2024年组织生活会民主评议党员情况总结报告.docx
文档评论(0)