VHDL编程实现交通灯控制(数电实验).ppt

  1. 1、本文档共34页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL编程实现交通灯控制(数电实验)

LED 东西南北方向: 红色r L11 (pin_214) 红色r L5 (pin_186) 红色r L6 (pin_188) 红色r L0 (pin_173) 黄色y L10 (pin_203) 黄色y L4 (pin_184) 黄色y L7 (pin_194) 黄色y L1 (pin_175) 绿色g L9(pin_201) 绿色g L3 (pin_182) 绿色g L8 (pin_196) 绿色g L2 (pin_177) CLK: (pin_33) KEY: (pin_72) 交通灯电路图 长江大学电工电子示范中心 1.位扫描时间间隔长短对路口时间显示效果影响如何? 2.若东西方向和南北方向的控制时间不等时,状态机中如何预置东西方向和南北方向的控制时间? 3.用8个数码管显示时间信息设计中,采用动态扫描方法,占用逻辑器件多少个I/O?若采用静态方式显示,占用逻辑器件多少个I/O?设计中有何变化? 4.仿真中如何设置状态机中东西、南北方向的预置时间? 5.程序编译完后生成*.sof和*.pof两个文件,这两个文件的差别是什么?实验过程中下载*.sof还是*.pof? 四、思考题 长江大学电工电子示范中心 五、实验报告 1.课题的任务及要求。 2.课题分析与编程思路。 对课题认真分析,正确理解,明确设计思路。 3.仿真结果分析。 建立测试向量文件,然后编译该文件,进行功能仿真 和时序仿真,给出仿真结果并进行分析。 4.实验测试、问题分析与研究。 实验设备清单(名称、型号、数量等); 调试和测试过程简介,故障分析及解决办法; 第四项中的问题解答。 5.实验设计中各功能模块的源程序。(作为附录) 6.总结。 总结课题存在的问题,提出改进的设想; 完成本课题后的收获、体会和建议。 交通灯设计 一、实验目的 1.了解可编程逻辑器件设计的流程; 2.掌握数码管动态扫描显示的实现方法; 3.掌握状态机的设计方法; 4.掌握进程的设计方法。 长江大学电工电子示范中心 二、设计任务与要求 长江大学电工电子示范中心 1.实现正常时序控制功能; 2.实现特殊状态控制功能; 3.实现信号灯点亮时间预置功能; 4.将东西方向、南北方向的灯亮时间分别用数码管显示; 5.在MAX PLUS或Quartus II开发平台上,采用VHDL或Verilog编程设计; 6.对编写的程序进行编译仿真、修改,使仿真结果达到设计要求; 7.下载并测试电路的逻辑功能。 2.1、问题描述 设计并实现一十字路口的红、绿、黄三色交通灯控制与显示电路,即每个路口设置一组红、黄、绿交通灯,按图1所示情况变化,以保证车辆、行人通行安全。 图1 2,2、功能分析 1.基本功能(见前面图) 2.扩展功能 (1)特殊状态控制功能 特殊状态如紧急车辆随时通行功能受一开关控制,无急车时,信号灯按正常时序控制。有急车来时,将特殊状态开关按下,不管原来信号灯的状态如何,一律强制让两个方向的红灯同时点亮,禁止其它车辆通行,同时计时停止;特殊状态结束后,恢复原来状态继续运行。 (2)信号灯点亮时间预置功能 控制电路在任何时候可根据实际情况修改信号灯点亮时间。 2.3、设计思路: 1.参考图1,定义交通灯的状态,确定状态表; 状态 东西方向 南北方向 时间(s) S0 绿灯亮 红灯亮 Te S1 黄灯亮 红灯亮 Ty S2 红灯亮 绿灯亮 Ts S3 红灯亮 黄灯亮 Ty

文档评论(0)

laolingdao1a + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档