- 1、本文档共80页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA技术实用教程第2版-第10章_设计优化和设计方法
10.3.3 打包(Clique) 图10-19 图10-18设计适配后的Floorplan 10.3.3 打包(Clique) 图10-20 使用Clique 10.3.3 打包(Clique) 图10-21 Clique对话框 10.3.3 打包(Clique) 图10-22 Clique后的Floorplan 10.3 使用MAX+plusII优化设计 10.3.4 局部逻辑综合选项 图10-23 使用局部逻辑选项 10.3.4 局部逻辑综合选项 图10-24 逻辑选项对话框 10.3.4 局部逻辑综合选项 图10-25 分立逻辑选项 10.3.4 局部逻辑综合选项 图10-26 清除工程选项设置 10.3 使用MAX+plusII优化设计 10.3.5 Probe的使用 图10-27 未加Probe的设计 10.3 使用MAX+plusII优化设计 10.3.5 Probe的使用 图10-28 右键菜单 10.3 使用MAX+plusII优化设计 10.3.5 Probe的使用 图10-29 加入探针后 10.3 使用MAX+plusII优化设计 10.3.5 Probe的使用 图10-30 probe的仿真波形 10.4 其他设置 10.4.1 Slow Slew Rate设置 图10-31 Slow Slew Rate选择 10.4.2 FPGA配置器件设置与编程 图10-32 EPC2的编程文件产生设置 10.4.2 FPGA配置器件设置与编程 图10-33 对EPC2下载 10.4.2 FPGA配置器件设置与编程 图10-34 SuperPro L+编程器的器件选择 10.4 其他设置 10.4.2 FPGA配置器件设置与编程 图10-35 在SuperPro /Z编程器中选择编程文件 10.4.3 编程文件转换 图10-36 编程文件转换 10.4.4 手工分配逻辑宏单元LCs 图10-37 适配器设置 10.4.4 手工分配逻辑宏单元LCs 图10-38 手工分配LCs 习 题 10-1 利用资源共享的面积优化方法对下面程序进行优化(仅要求在面积上优化)。习题程序如下: 【例10-9】 LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; ENTITY addmux IS PORT (A,B,C,D : IN std_logic_vector(7 downto 0); sel : IN std_logic; Result : OUT std_logic_vector(7 downto 0)); END addmux; ARCHITECTURE rtl OF addmux IS BEGIN process(A,B,C,D,sel) begin if(sel = 0) then Result = A + B; else Result = C + D; end if; end process; END rtl; 习 题 10-2 试通过优化逻辑的方式对图10-39中所示的结构进行改进,给出VHDL代码和结构图。 10-3 已知4阶直接型FIR滤波器节的数学表达式如下: y(n)=x(n)h(0)+x(n-1)+x(n-2)h(2)+x(n-3)h(3) x(n)与x(n-m),m=0,1,2,3是延迟关系,m表示延迟的clk数。x(n-m)与h(m)的位宽均为8位,y(n)为10位,其中h(m)在模块例化后为常数。该模块的输入为x(n)、clk,输出为y(n),试实现该逻辑。 10-4 对习题10-3中的FIR滤波器节在速度上进行优化(在h(m)固定的情况下),试采用流水线技术。 10-5 利用FLEX的LUT结构,构建资源占用较小的常数乘法器,改进习题10-3、习题10-4的设计,减少模块的资源使用。 习 题 10-6 若对速度要求不高,但目标芯片的容量较小,试把习题10-3中的FIR滤波器用串行化的方式实现。 10-7 设计一个连续乘法器,输入为a0,a1,a2,a3,位宽各为8位,输出rout为32位,完成rout=a0*a1*a2*a3。试实
文档评论(0)