verilog数字系统设计教程第12章例题.doc

verilog数字系统设计教程第12章例题.doc

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
verilog数字系统设计教程第12章例题

第十二章 例题 [例1]: module fsm (Clock, Reset, A, K2, K1, state); input Clock, Reset, A; output K2, K1; output [1:0] state; reg K2, K1; reg [1:0] state ; parameter Idle = 2b00, Start = 2b01, Stop = 2b10, Clear = 2b11; always @(posedge Clock) if (!Reset) begin state = Idle; K2 =0; K1 =0; end else case (state) Idle: if (A) begin state = Start; K1=0; end else begin state = Idle; K2=0; K1=0; end Start: if (!A) state = Stop; else state = Start; Stop: if (A) begin state = Clear; K2= 1; end else begin state = Stop; K2=0; K1=0; end Clear: if (!A) begin state =Idle; K2=0; K1=1; end else begin state = Clear; K2=0; K1=0; end default: state=2’bxx; endcase endmodule [例2]:module fsm (Clock, Reset, A, K2, K1); input Clock, Reset, A; output K2, K1; reg K2, K1; reg [3:0] state ; parameter Idle = 4’b1000, Start = 4’b0100, Stop = 4’b0010, Clear = 4’b0001; always @(posedge Clock) if (!Reset) begin state = Idle; K2=0; K1=0; end else case (state) Idle: if (A) begin state = Start; K1=0; end else begin state = Idle; K2=0; K1=0; end Start: if (!A) state = Stop;

文档评论(0)

xcs88858 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8130065136000003

1亿VIP精品文档

相关文档