电子设计自动化第三章_仿真与测试.ppt

  1. 1、本文档共175页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
电子设计自动化第三章_仿真与测试

ARCHITECTURE ONE OF DECODE38 IS SIGNAL indata: STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN indata=cba; PROCESS(indata,G1,G2A,G2B) BEGIN IF(G1=1 AND G2A=0 AND G2B=0 THEN CASE indata IS WHEN 000=y WHEN 001=y WHEN 010=y WHEN 011=y WHEN 100=y WHEN 101=y WHEN 110=y WHEN 111=y WHEN OTHERS =y= END CASE; ELSE y END IF; END PROCESS; END ONE; WITH indata SELECT y=WHEN 000, WHEN 001, WHEN 010, WHEN 011, WHEN 100, WHEN 101, WHEN 110, WHEN 111; 【例4-19】 LIBRARY IEEE; --半加器描述(1) USE IEEE.STD_LOGIC_1164.ALL; ENTITY adder IS PORT (a, b : IN STD_LOGIC; co, so : OUT STD_LOGIC); END ENTITY adder; ARCHITECTURE fh1 OF adder is BEGIN so = NOT(a XOR (NOT b)) ; co = a AND b ; END ARCHITECTURE fh1; 【例4-20】 LIBRARY IEEE; --半加器描述(2) USE IEEE.STD_LOGIC_1164.ALL; ENTITY h_adder IS PORT (a, b : IN STD_LOGIC; co, so : OUT STD_LOGIC); END ENTITY h_adder; ARCHITECTURE fh1 OF h_adder is SIGNAL abc : STD_LOGIC_VECTOR(1 DOWNTO 0) ; BEGIN abc = a b ; PROCESS(abc) BEGIN CASE abc IS WHEN 00 = so=0; co=0 ; WHEN 01 = so=1; co=0 ; WHEN 10 = so=1; co=0 ; WHEN 11 = so=0; co=1 ; WHEN OTHERS = NULL ; END CASE; END PROCESS; END ARCHITECTURE fh1 ; 【例4-21】 ... --半加器描述(3) SIGNAL abc,cso : STD_LOGIC_VECTOR(1 DOWNTO 0 ); BEGIN abc = a b ; co = cso(1) ; so = cso(0) ; PROCESS(abc) BEGIN CASE abc IS WHEN 00 = cso=00 ; WHEN 01 = cso=01 ; WHEN 10 = cso=01 ; WHEN 11 = cso=10 ; END CASE; END PROCESS; END ARCHITECTURE fh1; 二、利用半加器设计全加器 1、元件例化语句:将预先设计好的设计实体定义为一个元件,然后利用特定的语句将此元件与当前设计的设计实体中的指定端口相连接,从而为当前设计实体引入一个新的低一级的设计层次。 元件例化语句由两部分组成,第一部分是对一个 现成的设计实体定义为一个元件,称为元件定义语句,其功能是对待调用的元件作出调用说明。 语句格式如下: COMPONENT 元件名 IS PORT (端口名表); END COM

文档评论(0)

wyjy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档