一个老外写的DDS(VHDL)的例子,很经典。.docVIP

一个老外写的DDS(VHDL)的例子,很经典。.doc

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
一个老外写的DDS(VHDL)的例子,很经典。

典。 -- DDFS.vhd ------------------------------------- -- Direct Digital Freq. Synthesis -- ------------------------------------- -- (c) Bert Cuzeau, ALSE - info@ -- May be reproduced provided that copyright above remains. -- We use one of the symetries in the sine function, -- so the lookup table is re-used twice (128 entries table) -- The Sine Table is built by a C program... ------------------------------------- -- Design IOs : -- Clk : Global Clock input -- Rst : Global Reset input -- Freq_data : 8-bit frequency control vector -- from DIP switches on the board. -- Dout : is signed 8-bit output to the DAC. -- ----------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- ----------------------------------------------- Entity DDFS is -- ----------------------------------------------- Port ( CLK : in std_logic; RST : in std_logic; Freq_Data : in std_logic_vector (7 downto 0); Dout : out std_logic_vector (7 downto 0) ); end DDFS; -- ----------------------------------------------- Architecture RTL of DDFS is -- ----------------------------------------------- signal Address : unsigned (6 downto 0); signal Result : std_logic_vector (7 downto 0); signal Accum : unsigned (28 downto 0); -- we want very low Frequencies ! signal Sign : std_logic; begin -- Signed Accumulator -- ------------------ Acc: process (CLK,RST) begin if RST=1 then Accum 0); elsif rising_edge(CLK) then Accum = Accum + unsigned(Freq_Data); end if; END process acc; Sign = Accum(Accumhigh); -- MSB -- Lookup Table Index calculation -- ------------------------------ Address = unsigned(Accum(Accumhigh-1 downto Accumhigh-Addresslength)); -- SINE Look-Up TABLE -- ------------------- -- Inference of an Asynchronous Rom. -- A synchronous one would be better, but we register the output. -- This table has been built by GENVEC.exe (C program) -- We use only positive values ! (sign comes from quadrant info) -- This could be further optimized by coding only one

文档评论(0)

zhengshumian + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档