电子线路设计实验报告多功能数字钟设计.docVIP

电子线路设计实验报告多功能数字钟设计.doc

  1. 1、本文档共16页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
电子线路设计实验报告多功能数字钟设计

华中科技大学电子线路设计 实验报告 多功能数字钟设计 姓名 学号 班级 一、实验目标: 1、掌握可编程逻辑器件的应用开发技术——设计输入、编译、仿真和器件编程; 2、熟悉EDA软件使用; 3、掌握Verilog HDL设计方法; 4、分模块、分层次数字系统设计 二、实验任务及要求 1、基本功能 准确计时,以数字形式(十二进制)显示时、分、秒的时间 校正时间:时、分 快校与慢校(1Hz与手动) 复位:00:00:00 仿广播电台正点报时 (四高一低) 2、扩展功能: (1)任意闹钟; (2)小时为12/24进制可切换 (3)报正点数(几点响几声) 三、实验条件: DE0 实验板结构与使用方法 quartus软件的使用 FPGA的使用 四、电路设计过程: 1、需求分析 开发背景:数字钟是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 2、 实验原理: 用层次化设计的方法以Verilog语言编程实现以下功能: (1)、具有“时”、“分”、“秒”计时功能;时为24进制,分和秒都为60进制。 (2)、具有校时和清零功能,能够用4Hz脉冲对“小时”和“分”进行调整,并可进行秒清零;实际电路中使用快校时。 (3)、具有整点报时功能。在59分51秒、53秒、55秒、57秒发出低音512Hz信号,在59分59秒发出一次高音1024Hz信号,音响持续1秒钟,在1024Hz音响结束时刻为整点。在实际电路中使用LED灯实现四低使用用LED1,高音另一个LED灯显示。 (4)、具有一键设定闹铃及正常计时与闹铃时间的显示转换。闹时时间为一分钟。 3、模块设计分析 整体电路分为两块,主体电路和扩展电路分别实现基本功能和扩展的功能。 (1)、主体电路设计: (2)时分秒计数器需求分析: 分和秒计数器都是模M=60的计数器 其计数规律为00—01—…—58—59—00… 时计数器: 若采用24小时制:计数器为24进制,其计数规律为 00—01……—02—23—00…. 若采用12小时制:计数器为12进制,其计数规律为 01—02……—12—01…. 24小时制:当数字钟运行到23时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为00时00分00秒。 12小时制:当数字钟运行到12时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为01时00分00秒。 4、逻辑分析: 主体电路由两个60进制计数器、一个24进制计数器、两个二选一数据选择器、分频器,7端译码显示器共7个模块组成。分频器将系统内置的50MHz的信号分成4Hz的信号输出CP,是数字能稳定的在数码管上显示。3个计数器共用一个时钟信号CP,为同步8421BCD码输出的计数器。具体实现如下图: 1 1 EN MCoM EN SCo EN 校时控制Adj_Hour 校分控制Adj_Min (adjust_Time) (adjust_Time) 图中连个选择器分别用于选择分计数器和是计数器的使能控制信号。对时间进行校正时,先选择校时模式,在adjust_Time=1时,在控制端(Adj_Hour、Adj_Min)的作用下,使能信号接高电平,此时每来一个时钟信号,计数器加1,从而实现对小时和分钟的校正。正常计时时,使能信号来自每一位的低位计数器的输出,即秒计数器到59秒时,产生一个输出信号(Sco=1)使分计数器加1,分秒计数器同时计到最大值时,产生输出信号(Mco=1)使小时计数器加1。 实现上述功能的Verilog的程序如下:整个程序2分为两个层次4个模块,底层由3个模块组成,即六进制计数模块、十进制计数模块、和24进制计数模块、顶层有一个模块,他调用底

文档评论(0)

phltaotao + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档