EDA课程设计---数字频率计.doc

  1. 1、本文档共13页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA课程设计---数字频率计

EDA课程设计报告 题 目: 数字频率计 姓 名: 院 系: 电气学院 专  业: 电子信息工程 指导教师: 完成时间: 2012年 6 月5 日 目 录 1 课程设计题目、内容与要求 …………………………………… 1.1 设计内容…………………………………………………… 1.2 具体要求…………………………………………………… 2 系统设计 ………………………………………………………… 2.1 设计思路…………………………………………………… 2.2 系统原理与设计说明 3 系统实现 ………………………………………………………… 4 系统仿真 ………………………………………………………… 5 硬件验证(操作)说明………………………………………… 6 总结 …………………………………………………………… 7 参考书目 ……………………………………………………… 1 课程设计题目、内容与要求 1.1课程设计的题目:数字频率计设计 1.2课程设计内容: (1)设计一个能测量方波信号的频率计; (2)测量范围是0-999999Hz; (3)结果用十进制数显示。 2 系统设计 2.1设计思路: 2.1.1 数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器.它的基本功能是测量方波信号及其他各种单位时间内变化的物理量。本数字频率计采用自顶向下的设计思想,通过闸门提供的1s闸门时间对被测信号进行计数及测出的被测信号的频率,测出的频率再通过译码器译码后输出给显示器显示。根据系统设计的要求,数字频率计的电路原理框图如下: 待测输入信号 4MHZ时钟 4MHZ时钟 图1 数字频率计电路原理框图 2.2 系统原理与设计说明 系统各个模块的功能如下: 2.2.1标准时钟发生电路模块 借用实验板上标准时钟发生电路,为计数闸门控制电路提供一个标准8Hz信号。 2.2.2 计数器闸门控制电路模块 计数器闸门控制电路就是产生三个控制信号,即计数器复位信号、4位十进制计数器允许计数信号、锁存信号。 2.2.3锁存电路模块 锁存电路就是为了让LED数码管在信号来临之前保持计数值不变。 2.2.4计数器复位电路模块 计数器复位电路是让频率计恢复到计数初始态。 2.2.5 LED数码管驱动电路模块 LED数码管驱动电路就是为LED数码管提供驱动电压。 3系统实现 此部分先讲各个模块再讲模块联调的实现。 3.1各部分模块的源程序 ⑴ 模块 FEN 见图 1.1 ,通过对 4MHz 时钟进行分频以获得 0.5 Hz 时钟,为核心模块 CORNA 提供 1 s 的闸门时间。 library ieee; use ieee.std_logic_1164.all; entity fen is port(clk:in std_logic; q:out std_logic); end fen; ? architecture fen_arc of fen is begin process(clk) variable cnt: integer range 0 to 3999999; variable x:std_logic; begin if clkevent and clk=1then if cnt3999999 then cnt:=cnt+1; else cnt:=0; x:=not x; end if; end if; q=x; end process; end fen_arc; ⑵ 模块 SEL见图1.2,该模块产生数码管的片选信号。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity selx is port(clk:in std_logic; sel:inout std_logic_vector(2 downto 0)); end selx; ? architecture sel_arc of sexl is begin process(clk) variable cnt:std_logic_vector(2 downto 0); begin if clkevent and clk=1then cnt:=cnt+1; end if; sel=cnt; end process; end sel_arc; ⑶ 核心模块 CORNA见图1.3,该模块是整个程序

文档评论(0)

pangzilva + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档