在EDK中调用ISE的IP core的方法.docx

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
在EDK中调用ISE的IP core的方法

在EDK中调用ISE的IP CORE的方法(仅供内部使用)修订记录在EDK中调用ISE的IP CORE的方法实例:利用Accumulator累加器计数实现流水灯。实现的verilog代码如下(led.v)。module led(input clk,output [3:0] led);reg [27:0] cnt = 28d1;wire [27:0] acc_out;acc u_acc ( //ISE 的IP core , .b(cnt), // input [29 : 0] b .clk(clk), // input clk .q(acc_out) // output [29 : 0] q);always @ ( posedge clk)begincase(acc_out[27:26])2b00 : led = 4b1110;2b01 : led = 4b1101;2b10 : led = 4b1011;2b11 : led = 4b0111;default:led = 4b1111;endcaseendendmodule以上红字部分ISE的IP核。利用ISE生成IP核acc后,在工程目录下ipcore_dir文件夹下会自动生成一系列文件,其中的acc.v和acc.ngc将会在以下步骤中使用到。在EDK中定制用户IP,IP名: led_test,版本号:V_1_00_a1、 将led.v 和acc.v文件放在pcores\led_test_v1_00_a\hdl\verilog目录下,将led.v模块例化在user_logic.v模块中。并修改.vhd文件中的例化端口。2、 在process \led_test_v1_00_a\目录下新建文件夹netlist,并把acc.ngc文件放入。3、 在pcores\led_test_v1_00_a\data目录下建立bbd文件,文件名与.pao文件相同,.bbd为后缀。.bbd文件内容如下:Filesacc.ngc4. mpd文件在## Peripheral Options下添加以下语句OPTION RUN_NGCBUILD = TRUEOPTION IMP_NETLIST = TRUEOPTION STYLE = MIX在## Ports下加PORT led = , DIR = O,VEC = [0:3]5、 在.pao文件下加lib led_test_v1_00_a led veriloglib led_test_v1_00_a acc verilog文件目录如下:||----data| .bbd //记录NGC文件| .mpd //描述IP接口信息,属性等| .pao //说明IP核所有的源文件(HDL下的文件)||----hdl| |---verilog| | led.v| | acc.v| | user_logic.v| |---vhd| led_test.vhd||----netlistacc.ngc?6、 添加定制的用户IP,编译、下载。在EDK中调用ISE的IP CORE的方法 FPGA设计组2

文档评论(0)

xcs88858 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8130065136000003

1亿VIP精品文档

相关文档