第3节VHDL的数据及文字规则.ppt

  1. 1、本文档共33页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第3节VHDL的数据及文字规则

第3节 VHDL的数据及文字规则 4.3.1、文字规则 4.3.2、VHDL数据对象(DATA OBJECTS) 4.3.3、VHDL数据类型(DATA TYPES) 4.3.4、VHDL中数据类型的转换 4.3.1文字规则 整数 5,110,13_254_297( 实数 1.0,3.78, 34.97E-1(=3.497), 18_670_21.453_9(=1867021.4539) 以数制为基础的文字 10#170#,16#FE# 字符串型文字 “ERROR”, ‘B’,‘*’, B“1_1010” --二进制数数组,位矢数组长度是5 O“17” --八进制数数组,位矢数组长度是6 X“AD0” --十六进制数数组,位矢数组长度是12 二、标识符** 有效字符 A-Z,0-9,_ 必须以英文字母开始 必须单一_,其前后必须为字母或数字 标识符字母不分大小写 如: _Decoder F 2fft ,sig_#n, data_ _bus, return FFT, sig_n, state0, return1 VHDL93版支持扩展标识符 三、下标名 ** 下标名的语句格式如下: 标识符(表达式) 如: Signal a, b: bit_vector ( 0 to 3); Signal m: integer range 0 to 3; Signal x, y :bit 多个下标的组合 标识符(表达式 方向 表达式) 方向----- To 由低到高 DOWNTO 由高到低 如:signal a, z: bit_vector(0 to 7);-- 8位位矢量 z(0 to 3)=a(4 t0 7); z(4 to 7)=a(0 to 3); 4.3.2 VHDL数据对象(DATA OBJECTS) 共有四类基本数据对象: 常量、变量、信号和文件 一、常量 常量是一个恒定不变的值,如果作了数据类型和赋值定义,在程序中就不能再改变。 常量说明的一般格式如下: CONSTANT常量名:数据类型:=表达式; 常量的使用规则如下: 常量必须在程序包、实体、结构体、块、进程和子程序等设计单元中对有关项目进行指定 例如: CONSTANT Vcc:REAL:=5.0; --定义某一个恒定电源 CONSTANT dely:TIME:=25ns; --定义某个模块延迟时间 二、变量(Variable) 2、变量的使用规则如下: (1)变量赋值和初始化赋值都用“:=”表示。 (2)变量赋的初值不是预设的,某一时刻只能有一个值。 (3)变量不能用于在进程间传递数据。 (4)变量不能用于硬件连线和存储元件。 (5)仿真时,变量用于建模;综合时,变量充当数据的暂存。 例如:VARIABLE a:INTEGER; --定义a为整数型变量 VARIABLE b:BIT_VECTOR(0 TO 5); --定义b为数组型变量 三、信号(Signal) 描述硬件系统的基本数据对象,连线。 2、语法: SIGNAL 信号名:数据类型; 3、赋值语法: 目标信号名=表达式; 如:signal a:std_logic_vector(15 downto 0); a=X”FBFC”; 4、信号和变量之间的区别** 信号的代入过程与代入语句分开处理,执行代入语句不会使信号立即代入;而变量的赋值语句一旦执行,其值立即被赋予变量。 在进程中,信号可以列入敏感表,而变量不能列入敏感表。 仿真过程中,变量使用很少的存储器;而为了调度安排和处理信号属性,信号需要存储更多的信息。 信号可能需要使用WAIT语句来为执行相同迭代做信号赋值的同步处理;而变

文档评论(0)

xcs88858 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8130065136000003

1亿VIP精品文档

相关文档