EDA实验报告第一次.docVIP

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
EDA实验报告第一次

实验一 组合逻辑电路的VHDL设计 实验目的:熟悉QuartusⅡ的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。 实验内容1:用VHDL语言设计2选1多路选择器 要求:首先利用QuartusⅡ完成2选1多路选择器的文本编辑输入和编译、仿真测试等步骤,给出时序仿真波形。选择目标器件EP1C3,建议选实验电路模式5,模式图如附录1附图6所示。用键1(PIO0,引脚号为1)控制s;a和b分别接clock0(引脚号为93)和clock2(引脚号为17);输出信号y接扬声器speaker(引脚号为129)。通过短路帽选择clock0接256Hz信号,clock2接8Hz信号。引脚锁定后进行编译、下载和硬件测试实验,通过键1控制s,可使扬声器输出不同音调。 实验步骤: 1.在D先建立一个文件夹,命名为mux 2.打开Quartus II ,选择File里的New,并选择Device Design Files中的VHDL File选项。编辑源程序如下: ENTITY mux21a IS PORT(a,b,s:IN BIT; y:OUT BIT); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS BEGIN y=a WHEN s=0 ELSE b; END ARCHITECTURE one; 3.选择File里的Save as命令,找到已建立的文件夹d:\mux,将文件命名为mux 4.编译:点编译键。 5.时序仿真: A.编译成功后,在file下 new 下other file建立 vector waveform file。 B.倒入源程序内的信号,a,b,s,y。 C. 各信号选择合适的信号源,时间周期。 D.编译通过后,即可看到时序波形图,如下: 6.引角锁定和下载: A.Assignments 下选Assignment editor,在 category 中选pin . B.选择引角a-pin1,b-pin17,s-pin1,y-pin129 7.编程下载: 下载前先编译 A.打开编辑窗口和配置文件,首先将实验系统和并口通信接线连接女,打开电源。Tool programmer ,在mode下选JTAG。Hardware setting add hardware byteblasterMV[LPT1],ok 。 B. 在窗口中的文件名后,program/congfgure 复选框中“V”,单击start。 实验内容2:将此二选一多路选择器看成是一个元件mux21a,利用元件例化语句描述图1.1所示双2选1多路选择器,并将此文件放在同一目录中。 要求:首先利用QuartusⅡ完成2选1多路选择器的文本编辑输入和编译、仿真测试等步骤,给出时序仿真波形。然后进行引脚锁定以及硬件下载测试。选择目标器件EP1C3,建议选实验电路模式5,模式图如附录1附图6所示。用键1(PIO0,引脚号为1)控制s0;用键2(PIO1,引脚号为2)控制s1;a3、a2和a1分别接clock5(引脚号为16)、clock0(引脚号为93)和clock2(引脚号为17);输出信号outy仍接扬声器spker(引脚号为129);通过短路帽选择clock0接256Hz信号,clock5接1024Hz,clock2接8Hz信号。通过选择键1、键2,控制s0、s1,可使扬声器输出不同音调。 所编写的源程序如下: library ieee; use ieee.std_logic_1164.all; entity mux21b is port (a1,a2,a3,s0,s1:in std_logic ; outy :out std_logic ); end ; architecture bhv of mux1 is component mux21a port (a,b,s: in std_logic ; y: out std_logic ); end component ; signal tmp: std_logic ; begin u1:mux21a port map (a=a2,b=a3,s=s0,y=tmp); u2:mux21a port map (a=a1,b=tmp,s=s1,y=outy); end architecture bhv; 实验步骤与内容1相同。仿真波形如下所示: 实验二 时序逻辑电路的VHDL设计 实验目的:熟悉QuartusⅡ的VHDL文本设计过程,学习简单时序电路的设计、仿真和测试。 实验内容1:用VHDL语言设计D边沿触发器。 要求:首先利用QuartusⅡ完成D边沿触发器的文

文档评论(0)

baoyue + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档