基于Verilog_HDL语言的状态机编程.doc

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于Verilog_HDL语言的状态机编程

数字电子技术基础 大作业报告 课程名称: 数字电子技术基础 设计题目: Verilog HDL 状态机编程 院 系: 控制科学与工程系 班 级: 姓 名: 学 号: 指导教师: 设计时间: 2011年12月 XXX Verilog HDL状态机编程 设计任务 利用Verilog HDL设计一个电路,对输入的一串二进制数,用于检测序列中连续3个或者3个以上的1,状态转换如图所示。 图 1 设计步骤 安装Quartus II软件并破解。 根据设计要求编写程序代码。 生成仿真电路图和波形如图。 程序代码 module moore(clk,din,op); input clk,din; output op; reg[1:0] current_state,next_state; reg op; parameter S0=2b00,S1=2b01,S2=2b10,S3=2b11; always@(posedge clk) begin current_state=next_state; end always@(current_state or din) begin case(current_state) S0:begin op=0; if(din==0) next_state=S0; else next_state=S1; end S1:begin op=0; if(din==0) next_state=S0; else next_state=S2; end S2:begin op=0; if(din==0) next_state=S0; else next_state=S3; end S3:begin op=1; if(din==0) next_state=S0; else next_state=S3; end default:begin op=0; next_state=S0; end endcase end endmodule 仿真电路图和波形图 如下图所示。

文档评论(0)

feixiang2017 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档