CPLD课程设计论文.docVIP

  1. 1、本文档共12页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
CPLD课程设计论文

《CPLD及电子CAD》 同组同学姓名: 实验一 组合逻辑设计,实验装置的使用方法 实验目的 : 通过一个简单的3-8译码器的设计,掌握用MAX+plus II设计组合逻辑电路的设计方法; 初步了解CPLD设计的全过程,初步掌握Altera软件的使用; 掌握组合逻辑电路的静态测试方法。 主要内容: 进入Windows操作系统,先建一个文件夹用来存放项目文件,打开MAX+plus II设计软件,新建一个图形文件并指定项目名称,然后在文件中用基本逻辑元件编辑一个3-8译码器,编辑完后存盘并进行编译,编译无误后进行波形仿真来验证功能,当仿真结果正确后就可以写入芯片中进行测试。测试时将a,b,c三个输入分别分配到装置上的键1,键2,键3,八个输出d0、d1、d2、d3、d4、d5、d6、d7依次分配到八个数码管。 实验数据图表 : 逻辑电路图: 仿真波形图: 小结: 通过这次课程的学习和试验操作,我们对EDA实验装置有了一定的了解并且掌握了CPLD 和FPGA的主要区别,熟悉了MAX+plus II的使用方法, 对组合逻辑电路的设计方法有了一定的了解。 实验二:用D触发器设计异步四位二进制加法计数器 实验目的: 1.了解时序电路的经典设计方法(D触发器和JK触发器和一般逻辑门组成的时序逻辑电路); 2.了解同步计数器和异步计数器的使用方法; 3.了解用同步计数器通过清零阻塞法和预显数法得到循环任意计数器的设计方法; 4.进一步掌握组合逻辑电路人设计方法; 主要内容 : 用D触发器设计异步四位加法计数器。 实验数据图表: 仿真波形图: 逻辑电路图: 小结与体会 计数器分成同步计数器和异步计数器两种。对于同步计数器,输入时钟脉冲时触发器的翻转是同时进行的,而异步计数器中的触发器的翻转则不是同 实验目的: 通过对6进制计数器的编程,初步了解cpld设计的全过程;通过对60进制计数器的编程,加深对cpld设计过程的了解,了解通用同步计数器的使用方法及工作原理,掌握VHDL语言的基本语法结构,并比较原理图输入和文本输入的优劣,并能够在此基础上做一些发挥设计任意进制的计数器,为下面实验特别是数字钟的综合设计做好基础。 主要内容: 1.在 MAX+plus II的环境下编写6进制的VHDL程序,对此进行编译找出错误并修改,由此加深对VHDL的理解,然后做仿真波形输出,看波形是否正确。 2.在6进制的VHDL程序上做一些修改,使之成为60进制的计数器。 实验程序:在6进制程序的基础上修改得到的60进制程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity jsq60 is port(clk,clr,en: in std_logic; carry : out std_logic; ge,shi :out std_logic_vector(3 downto 0)); end; architecture one of jsq60 is signal g,s: std_logic_vector(3 downto 0); begin process(clk,clr,en,g,s) begin if clr=1 then g=0000;s=0000; elsif clkevent and clk=1 then if en=0 then if g=1001 and s=0101 then g=0000;s=0000;carry=1; elsif g=1001 then g=0000;s=s+1; else g=g+1;carry=0; end if; end if; end if; end process; ge=g;shi=s; end; 仿真波形图: 体会: 从上面的几个进制的代码比较可知,VHDL可读性强且易于修改和发现错误,VHDL语言很简单,仅需要十几条语句,非常简洁,效率高,。 VHDL发展前途很大,它与硬件关系不大,可移植性好,不依赖器件、并与工艺无关会成为电子CAD设计的方向和潮流。 设计好的元件可以封装好当成库元件以便随时调用,可模块化实现总设计的要求。通过对6进制程序的修改得到吧60进制程序,并且还写了24进制计数器和一百进制计数器的程序,为后面的综合设计做了准备。 实验四:报时电路,分频电路,二选一电路 实验目的 : 1、进一步掌握组合逻辑电路的设计方法; 2、进一步加深对CPLD设计过程的了解,并比较原理图输入和文本输入的优劣。3、能独立运用VHDL设计一般常用的数字集成简单电路、报时、分频等电路并对

文档评论(0)

2017meng + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档