EDA实验报告 (十八).docVIP

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
EDA实验报告 (十八)

EDA 课 程 设 计 实 验 报 告 学院: 工学院 专业: 电子信息工程 年级: 07 姓名: 陈有衡 学号: : _______________________________________________________________________________ 电子密码锁 一 课程设计要求 在学习完大部分内容后,设计一个功能和市面上一模一样的“4位数电子密码锁”,可让学生学习查找相关资料,并对小型项目开发有一定的认识。使学生能进行模块化设计,对每部分电路进行讨论、说明与仿真验证,最后在整合起来。 设计一个4位数的电子密码锁。具备的功能: 1、数码输入:每按下一个数字键,就输入一个数值,并在显示器上的最右方显示,将先前的输入数据依序左移一个数字位置。 2、数码清除:按下此键可清除之前所有的输入值,清除成为“0000”。 3、密码更改:按下此键时会将目前的数字设定成新的密码。 4、激活电锁:按下此键可将密码锁上锁。 5、解除电锁:按下此键会检查输入的密码是否正确,密码正确即开锁。 二 电子密码锁的结构原理 图 (1) 电子密码锁的整体结构如图(1)所示,它包括密码锁输入模块,控制模块和显示模块等。 1密码锁输入模块 由时序产生电路,键盘扫描电路,抖动消除电路,键盘译码电路和按键存储电路组成。 (1)时序产生电路用于产生电路中三种不同频率的工作脉冲波形,包括系统时钟信号,抖动消除取样信号和键盘扫描信号。 (2)键盘电路可提供键盘扫描信号。该信号由ky3-ky1进入键盘,其变化的顺序为1110-1101-1011-0111-1110周而复始。 (3)抖动消除电路可避免误操作发生,由于设计中采用的矩阵式键盘是机械开关结构,在开关切换的瞬间,会在接触点出现信号来回抖动的现象。 (4)键盘译码电路,每一个按键可负责不同的功能,而键盘所产生的输出却无法直接拿来用作密码锁控制电路的输入,所以必须有键盘译码电路来规划每个按键的输出形式,以便执行响应的动作。 2 电锁控制电路 电所控制电路是整个电路的控制中心,主要完成如下功能: 数字按键输入部分 如果输入数字键,第一个数字会从显示器的最右端开始显示,此后每新按一个数字时,显示器上的数字必须往左移动一位。 若想要更改输入的数字,可按退格键来清除前一个输入的数字,或按清除键清除输入的所 有数字,再重新输入 4 位数字。既然设计的是四位电子密码锁,当输入的数字键超过 4 位时,电路不应理会。 功能键输入部分 退格键:只清除前一个输入的数字。清除键:清除所有输入。 密码核对:在密码更改,开锁之前必须先核对密码。密码变更:按下此键将目前输入的数字设定为新的密码。激活电锁:上锁,上锁之前必须先设定密码才能上锁。 解除电锁:检查输入的密码是否正确,正确才开锁。 3 数码管显示 数码管的显示是利用动态显示的方式来实现的,其原理也就是利用时序电路控制时序的先后顺序,读取寄存器文件的数据,并送至数码管译码器进而显示。模块首要由模N计数器、数据挑选和生成器、8段数码译码器、数码管位译码器和寄存器文件组成。模N计数器可根据参数N,产生N个状态,其状态数与数码管个数相等,每个状态依次分给第一个数码管;数码管位译码器的作用是根据模N计数器的值(状态)产生数码管位选信号。按顺序依次点亮数码管,而参数P的值示意数码管的类型(共阳或共阴),当P为高电平时,控制共阴极数码管工作;P为低电平时,控制共阳极数码管工作。 三 实验程序 --********************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity lock_led is port (x :in std_logic_vector(3 downto 0); y :out std_logic_vector(3 downto 0); clk :in std_logic; clr :in std_logic; ch_code :in std_logic; dx :out std_logic_vector(6 downto 0); wx :out std_logic_vector( 3 downto 0); open_key :out std_logic); end

文档评论(0)

baoyue + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档