EDA课程设计整点报时电路.docVIP

  1. 1、本文档共16页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
EDA课程设计整点报时电路

EDA技术课程设计 课题: 整点报时电路 系 别: 电气与电子工程系 专 业: 电子信息工程 姓 名: 学 号: 指导教师: 陈英 梁成武 赵红梅 河南城建学院 2012年月日 目 录 一、设计目的 4 二、设计要求 4 三、总体设计方案要求 4 1、设计的总体原理 4 2、设计内容 4 四、EDA设计与仿真 4 1、程序 4 2、仿真与波形图 7 五、硬件实现 10 1、硬件实现步骤 10 2、硬件实现结果 11 六、设计总结 15 七、参考文献 15 八、设计生成的电路总图 16 一、设计目的 这次课程设计主要是培养我们的实际动手能力,加深我们对EDA这门课程的理解,增强我们对EDA程序设计流程的掌握。这个课题还要求我们掌握计数器的设计,六十进制计数器和二十四进制计数器的设计方法,以及各个进制之间的连接关系。同时学习了复位功能实现的方法。 二、设计要求 1、具有时、分、秒,计数显示功能,以二十四时制循环计; 2、设置复位开关,以满足重新运行功能; 3、要求计时精度为0.01秒,最长时间为24H; 4、每当时钟运行到整点时,要求电路能推动扬声器发出与整点数目相等的音响声。例如:时钟到达10点,扬声器响10下设计方案 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity zdbs is port(clk,rst:in std_logic; clk_1024:in std_logic; spk:out std_logic; h1,h2,s1,s2:out std_logic_vector(3 downto 0); m1,m2:buffer std_logic_vector(3 downto 0)); end zdbs; architecture behav of zdbs is signal h,s:integer range 0 to 69; signal aleart:std_logic; begin process(clk) variable temp1:std_logic_vector(3 downto 0):=0001; variable temp2:std_logic_vector(3 downto 0):=0101; variable temp3:std_logic_vector(3 downto 0):=0101; variable temp4:std_logic_vector(3 downto 0):=1001; variable temp5:std_logic_vector(3 downto 0):=0101; variable temp6:std_logic_vector(3 downto 0):=0000; begin if rst=1 then temp1:=0001; temp2:=0101; temp3:=0101; temp4:=1001; temp5:=0101; temp6:=0000; else if(clkevent and clk=1)then temp6:=temp6+1; if(temp6=10)then temp6:=(others =0); temp5:=temp5+1; if (temp5=6)then temp5:=(others =0); temp4:=temp4+1; if(temp4=10)then temp4:=(others =0); temp3:=temp3+1; if (temp3=6)then temp3:=(others =0); temp2:=temp2+1; if(temp2=10)then temp2:=(others =0); temp1:=temp1+1; end if; if((temp1=2)and(temp2=4))then temp1:=(others =0); temp2:=(others =0)

文档评论(0)

baoyue + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档