1. 1、本文档共45页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
[理学]VFP4

第四章 程序设计初步 4.1 程序文件 4.2 程序的控制结构 4.3 多模块程序 4.4 窗口设计样例 4.1 程序文件 4.1.1 程序文件的建立与执行 4.1.2 程序文件中的专用命令 4.2 程序的控制结构 4.2.1 顺序结构 4.2.2 分支结构 4.2.3 循环结构 4.3 多模块程序 4.3.1 子程序 4.3.2 自定义函数 4.3.3 过程 4.3.4 变量的作用域 4.3.5 程序调试方法 4.3.6 结构化程序设计 4.4 窗口设计样例 4.4.1 浏览窗口的定制 4.4.2 窗口命令与函数 4.1.1 程序文件的建立与执行 一、程序文件的建立与执行命令 modify command 文件名 例4.1.1 在c:\prg文件夹下建立程序文件stu.prg。 modify command c:\prg\stu 二、程序文件的运行 do 程序文件名 例4.1.2 运行c:\prg文件夹下的程序文件stu.prg。 do c:\prg\stu 三、注释命令 注释命令并不执行,只对程序或命令起注释和说明的作用。 1. * 命令 将一行文本内容作为注释内容。 2. 命令 在一行后添加注释文本内容。 4.1.2 程序文件中的专用命令 一、return 命令 结束程序的运行并返回到上级程序。 二、cancel命令 结束程序的运行并返回到vfp命令窗口。 三、quit 命令 结束程序的运行并退出vfp。 五、wait 命令 wait [信息文本][to 内存变量][window[at行,列]][nowait][clear|noclear][timeout数值表达式] 功能:暂停程序的执行,直到用户从键盘输入一个字符为止。该字符可以保存在指定的内存变量中。 其中使用window子句在屏幕上出现一个wait窗口。Timeout子句用来指定暂停的时间。 例4.1.4 使用wait命令提示用户。 wait “是否删除(y/n)?” to sfsc 4.2.1 顺序结构 顺序结构程序中的语句由前向后逐条运行。 例4.2.1 依次显示sb.dbf中第1条、第4条、第6条记录。 use sb display go 4 display go 6 display use return 4.2.2 分支结构 分支结构有多种实现方式。 一、简单条件语句 if 逻辑表达式 语句序列 endif 功能:只有逻辑表达式的值为真时,才执行语句序列 例4.2.2 输出两个数中的最大值。 clear @10,10 say “输入第一个数:” get x default 0 @12,10 say “输入第二个数:” get y default 0 read if xy t=x x=y y=t endif @14,10 say “最大数为:” y return 二、带else的条件语句 if 逻辑表达式 语句序列1 else 语句序列2 endif 功能:当逻辑表达式的值为真时,执行语句序列1,否则执行语句序列2。 例4.2.3 输出两个数中的最大值。 clear @10,10 say “输入第一个数:” get x default 0 @12,10 say “输入第二个数:” get y default 0 read if xy m=x else m=y endif @14,10 say “最大数为:” m return 三、多分支结构语句 do case case 逻辑表达式1 语句序列1 case 逻辑表达式2 语句序列2 …… case 逻辑表达式n 语句序列n [otherwise] 语句序列n+1 endcase 功能:从前往后依次判断各逻辑表达式的值,直到遇到某逻辑表达式k的值为真时,执行相应的语句序列k,执行完后,整个分支结构结束;当所有逻辑表达式的值均为假时,若有otherwise子句,则执行语句序列n+1。 例4.2.4 输入x的

文档评论(0)

hhuiws1482 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:5024214302000003

1亿VIP精品文档

相关文档