[信息与通信]VHDL设计初步.pdf

  1. 1、本文档共92页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
[信息与通信]VHDL设计初步

EDA技术实用教程 第四章 第四章 VHDL设计初步 VHDL设计初步 4.1 多路选择器VHDL描述 4.1.1 2选1多路选择器的VHDL描述 【例4-1 】 ENTITY mux21a IS PORT ( a, b : IN BIT; s : IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS BEGIN y = a WHEN s = 0 ELSE b ; END ARCHITECTURE one ; 4.1 多路选择器VHDL描述 4.1.1 2选1多路选择器的VHDL描述 (1) 以关键词ENTITY引导,END ENTITY mux21a结 尾的语句部分,称为实体。 图4-1 mux21a实体 4.1 多路选择器VHDL描述 4.1.1 2选1多路选择器的VHDL描述 (2) 以关键词ARCHITECTURE引导,END ARCHITECTURE one结尾的语句部分,称为结构体。 图4-2 mux21a结构体 4.1 多路选择器VHDL描述 4.1.1 2选1多路选择器的VHDL描述 【例4-2 】 ENTITY mux21a IS PORT ( a, b : IN BIT; s : IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS SIGNAL d,e : BIT; BEGIN d = a AND (NOT S) ; e = b AND s ; y = d OR e ; END ARCHITECTURE one ; 4.1 多路选择器VHDL描述 4.1.1 2选1多路选择器的VHDL描述 【例4-3 】 . . . ARCHITECTURE one OF mux21a IS BEGIN y = (a AND (NOT s)) OR (b AND s) ;

文档评论(0)

qiwqpu54 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档