[工学]第五章 MCS-51单片机内的功能模块1中断.ppt

[工学]第五章 MCS-51单片机内的功能模块1中断.ppt

  1. 1、本文档共120页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
[工学]第五章 MCS-51单片机内的功能模块1中断

第六章 单片机的功能模块及数字电子时钟 6.1 89S51单片机的定时器/计数器 6.1.1 定时/计数器T0和T1的结构 6.1.2 定时器/计数器T0、T1的工作方式 6.2 查询法定时实现LED小灯闪烁 6.2.1 定时器/计数器的定时原理 6.2.2 查询法定时50ms小灯闪烁程序设计 6.2.3 查询法定时1s小灯闪烁程序设计 6.3 89S51单片机的中断系统 6.3.1 中断的基本概念 6.3.2 MCS-51中断系统结构与中断控制 6.1 89S51单片机的定时器/计数器 1.T0和T1加法计数器(对计数脉冲每次加1) T0和T1加法计数器的两大功能 定时时间和计数值可以编程设定 6.2.1 定时器/计数器的定时原理 3、定时时间和初值的关系 6.2.2 查询法定时50ms小灯闪烁程序设计 定时1s的录像资料 ① IE0: 外中断中断请求标志 当P3.2引脚信号有效时,IE0=1,有外部中断申请 IE0=0,没有外部中断申请 ① TF1: T1溢出中断请求标志 T1计数溢出后,TF1=1,申请中断,进中断后自动清零。 ② TF0: T0溢出中断请求标志 T0计数溢出后,TF0=1,申请中断,进中断后自动清零。 对中断源的开放或关闭由IE控制。 ① PX0:INT0中断优先级控制位.PX0=1为高优先级; PX0=0为低优先级; ② PX1 :INT1中断优先级控制位。控制方法同上。 ③ PT0 :T0中断优先级控制位。控制方法同上。 ④ PT1 :T1中断优先级控制位。控制方法同上。 ⑤ PS :串行口中断优先级控制位。控制方法同上。 5、定时中断服务子程序 6.5 89S51单片机的输入/输出接口 4. 在并行扩展外存储器或I/O口情况下, P0口用于低8位地址总线和数据总线(分时传送) P2口用于高8位地址总线, 因此用户能使用的I/O口只有P1口和未用作第二功能的部分P3口端线。 6.6 数字电子时钟 6.6.1 数字电子时钟的硬件电路设计 数字电子时钟电路图 3、显示电路 2、初始化程序 3、主程序 数字电子时钟程序清单 SECOND EQU 40H ; 秒计数单元 MINUTE EQU 41H ; 分计数单元 HOUR EQU 42H ; 小时计数单元 SECONDGEWEI EQU 43H ; 秒的个位寄存单元 SECONDSHIWEI EQU 44H ; 秒的十位寄存单元 MINUTEGEWEI EQU 45H ; 分的个位寄存单元 MINUTESHIWEI EQU 46H ; 分的十位寄存单元 HOURGEWEI EQU 47H ; 小时的个位寄存单元 HOURSHIWEI EQU 48H ; 小时的十位寄存单元 ORG 0000H ;复位时程序从此开始 SJMP START ;跳到START进行初始化 ORG 000BH ;定时器0中断入口 AJMP TIMER0 ;跳转到TIMER0处 MOV DPTR,#TAB ;DPTR指向TAB数据表头处 MOV 30H, #0 ;给30H置0(用于计20次的50ms中断) MOV TH0,#3CH ;给计数容器TH0赋初始值3CH MOV TL0,#0B0H ;给计数容器TL0赋初始值B0H MOV TMOD, ; C/T为0,M1M0置01H,方式1 MOV TCON, ;TR0置1,启动T0开始工作 SETB ET0 ;IE中的ET0位设置为1,开T0中断 SETB EA ;IE中的EA位设置为1,开总中断 4. 主程序MAIN MAIN: CALL KEY ;调按键调时子程序KEY CALL PROCESS ;调二进制转BCD子程 ;序PROCESS CALL DISPLAY

文档评论(0)

jiupshaieuk12 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:6212135231000003

1亿VIP精品文档

相关文档